S
Symon
Guest
http://lmgtfy.com/?q=list+of+free+news+servers
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
groups.google.comSorry if this was asked once before ....
ATT is shutting down USENET for its residential DSL subscribers.
Is there a web portal to comp.arch.fpga anywhere?
I'd have to have to pay for third-party usenet if it can be avoided.
thanks
Bob Smith
news-supp...@sbcglobal.net wrote:
Please note that on July 15, 2009, AT&T will no longer be offering
access to the Usenet netnews service. If you wish to continue reading
Usenet newsgroups, access is available through third-party vendors.
For further information, please visithttp://support.att.net/usenet
Sincerely,
Your AT&T News Team
Distribution: AT&T SBC Global Usenet Netnews Servers- Hide quoted text -
- Show quoted text -
Several suggestions...I find there are any number of aspects of the VHDL language that I
just do not remember and I am not going to make up flash cards to help
me remember. So I drag a half dozen VHDL books around with me when I
am working on VHDL (or much less frequently, Verilog; one of the books
covers both).
I am getting tired of heaving the books up into the truck every time I
go to the lake and am starting to wonder if I should invest in some
good e-books on HDL.
What do the rest of you prefer? Do you have both? Do you still need
to rely on your books or do you pretty well have the language down
pat?
"Steven Elzinga" <steven.elzinga@xilinx.com> wrote in message
news:3EFC59CE.8090103@xilinx.com...
Ralph,
Another method (aside from passing an INIT) is to initialize the signal
that will be registered:
library ieee;
ues ieee.std_logic_1164.all;
entity ff is
port (d, c : in std_logic;
q : out std_logic);
end entity;
architecture ff_arch of ff is
signal q_temp : std_logic := '0'; -- XST will pass the proper INIT
value based off of the signal initialization
-- This INIT value is the state to
which the register will power up
-- q_temp is the signal that will be
registered
begin
:
:
Steve
Hi Steve,
With webpack 5.1 this doesn't seem to work at all. Doing this and then
looking at the report file there is no change in the init states of the
registers do not change at all.
Taking the inferred net names from the synthesis report and using a
constraints file worked fine though.
Any ideas why your approach wouldn't work for me? Perhaps I am doing
something wrong?
Thanks
Ralph
Xilinx has two major product lines. Virtex is for performance and
features, Spartan is for low cost. Otherwise, the architectures are very
similar.
That gives us a chance to really optimize each line. The Spartan
developers reduce the cost, accepting that this makes their devices
non-optimal for certain applications, but there is always Virtex to
deliver higher functionality and performance (at a higher price).
The Virtex designers can optimize functionality and speed, knowing that
this might increase the cost, but there is always Spartan to satisfy
less performance-critical, but more cost-sensitive applications.
There is no free lunch, in engineering almost everything is a trade-off.
But everybody still asks for champagne on a beer budget
Peter Alfke
=======================
Luiz Carlos wrote:
I'm not complaining, and I know that Xilinx wil not make a special
Spartan3 just for me. But I have the right to express what I think,
and maybe I'm not alone. Maybe there are a lot of Luizes and Rays,
maybe Xilinx will hear us and maybe, at these nanometer scales where
the pads are so big, to have all the CLBs configurable as memory is
not so significant in silicon area.
Luiz Carlos Oenning Martins
KHOMP Solutions
There's little doubt that multiple optional download parts is the most"Steven K. Knapp" wrote:
"rickman" <spamgoeshere4@yahoo.com> wrote in message
news:3F00FDD2.C74022BD@yahoo.com...
"Nicholas C. Weaver" wrote:
That is a good point about the tools. I forget that the XC3S is only
supported in webpack in the XC3S50 now and I think only up to the
XC3S400 in the next release. I honestly don't get the idea of selling
very low cost chips and not adding them to the free tools.
Personally, I agree with your statement and have been trying to convince
the
powers that be to add additional Spartan-3 devices to WebPack. The
folks
responsible for WebPack are concerned about the total download size.
The
larger devices have multi-MB support files.
If the size of the download is the issue, there are very simple ways to
address that. One is to split the download into two parts, one for the
current configuration and one for the added support for the larger
devices. The other is just to ship the CD as you already do. I don't
think adding all the chips will blow away a CD will it? As it is, I
don't think it is very practical to ask a user to download a 150 MB
file. At least it is not practical for me to download it.
As has been said before in the group, one of the best featuresI'm not asking for "champagne on a beer budget". What I am saying is:
I've spent a good amount of time studying champagne (Virtex-II), but
I don't have a lot of time right now to study beer (Spartan-3) from
scratch.
It's like sex - can be embarrassing at first for the strongestI cannot understand that at all. If the question is ventilated in
public, it should be answered in public. Unless the answer is very
embarrassing...
French champagne, please!There is no free lunch, in engineering almost everything is a trade-off.
But everybody still asks for champagne on a beer budget
Peter Alfke
It doesn't look like (using the projected speeds for MicroBlaze).After all,
you are comparing 90 nm Spartan 3s to 150 nm VirtexIIs. It is very
possible that the S3s will run faster even with the added delays.
It's ok.I am sorry if my "nagging" is annoying. But I have watched a lot of
changes in FPGAs and have often felt they were not for the better. But
somewhere around the Virtex or VirtexII parts I started to realize that
I needed to forget about how the parts were different and focus on how
to solve my design problems using them. With that I have come to
understand that often what I saw as a limitation is more than made up
for in other areas. I am sure that Xilinx does not remove functionality
without considering the trade offs very seriously.
Thomas <tom3@protectedfromreality.com> wrote:
ERROR:MapLib:93 - Illegal LOC on symbol "pin_cpuphase2" (pad
signal=pin_cpuphase2) or BUFGP symbol "pin_cpuphase2_bufgp" (output
signal=pin_cpuphase2_bufgp), IPAD-IBUFG should only be LOCed to
GCLKIOB
site.
so, apparently it wants that signal to be on a GCLKIOB; what would be
the
workaround to use this input as a clock without going through one of the
gclkiob pin?
You need to use a simple IBUF instead of an IBUFG. Your synthesis tool
is probably trying to be helpful. You may have to instantiate the IBUF.
Hamish
--
Hamish Moffatt VK3SB <hamish@debian.org> <hamish@cloud.net.au
Without more description it would be hard to say. If the whole design couldMarlboro> schrieb im Newsbeitrag news:ee7e56e.2@WebX.sUN8CHnE...
Hi Petter,
The speed is very slow, data is updated every 33 ms or so but the clock is
50 mhz, I think there would be no problem...
In this case you should consider using a serial adder. Uses much less
ressources.
I cannot understand that at all. If the question is ventilated in
public, it should be answered in public. Unless the answer is very
embarrassing...
While you're adding things, any chance of sneaking the real differentialThe non-'J' version of the XC3S50 also includes block RAM, embedded
multipliers, and 2 Digital Clock Managers (DCMs)
hello.
i'm just getting started to learn fpga and i'm interested in dsp
design in fpga.
what small projects do you recommend to start with?
i need to define small projects so that i have goals, rather than
studying without any target.
thanks!
Steve Casselman wrote:
What you should really do is count the number of times someone from Xilinx
has answered questions on the NG and the number of times you see someone
from Altera (or other vendors) answer any questions. I think you will see it
is about 100 to 1. A question about Xilinx will almost always be answered
where as a question about Altera will only be answered by some other user.
So basically you see more Xilinx questions because users know they will get
an answer by someone who knows what they are talking about. You can't say
that about Altera.
Except that I often am contacted by Altera directly rather than here in
public. I can understand why they would do that. I think you will also
find that very recently there are a lot more posts here from Altera.
--
Rick "rickman" Collins
rick.collins@XYarius.com
Ignore the reply address. To email me use the above address with the XY
removed.
Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design URL http://www.arius.com
4 King Ave 301-682-7772 Voice
Frederick, MD 21701-3110 301-682-7666 FAX
Overnight does not cut it. As for the resources, it really does not"rickman" <spamgoeshere4@yahoo.com> wrote in message
news:3F027B39.A0E8DD68@yahoo.com...
"Steven K. Knapp" wrote:
"rickman" <spamgoeshere4@yahoo.com> wrote in message
news:3F00FDD2.C74022BD@yahoo.com...
"Nicholas C. Weaver" wrote:
That is a good point about the tools. I forget that the XC3S is only
supported in webpack in the XC3S50 now and I think only up to the
XC3S400 in the next release. I honestly don't get the idea of selling
very low cost chips and not adding them to the free tools.
Personally, I agree with your statement and have been trying to convince
the
powers that be to add additional Spartan-3 devices to WebPack. The
folks
responsible for WebPack are concerned about the total download size.
The
larger devices have multi-MB support files.
If the size of the download is the issue, there are very simple ways to
address that. One is to split the download into two parts, one for the
current configuration and one for the added support for the larger
devices. The other is just to ship the CD as you already do. I don't
think adding all the chips will blow away a CD will it? As it is, I
don't think it is very practical to ask a user to download a 150 MB
file. At least it is not practical for me to download it.
There's little doubt that multiple optional download parts is the most
elegant solution - along with the possiblity of getting everything on CD for
those that want that. However, the current WebPack is so large that a few
extra megabytes for extra part support would not make a significant
difference. And anyway, are there many companies with the resources to be
involved in fpga design, but without a permanent internet connection? Even
if it's a bit slow, you can always leave a download running overnight.
Hi all,
If you look at the mapped netlist then the lut's are defined as
defparam NameOfLut.INIT=16'hE4E4;
does anybody know how the INIT defines the functionality of the LUT. In
other words
what does E4E4 mean?
Kris
Followup to: <vfv8tlhpgtpk57@corp.supernews.com
By author: "Jerry" <nospam@nowhere.com
In newsgroup: comp.arch.fpga
I come from the ASIC side, and I have
something in verilog like: assign Z = (a[15:0] / b[9:0]); and I get
an
error saying the divisor must be a power of 2. Looking around, it
seems that this cannot be implemented into HW??
The limitation is with your synthesis tool, not the capabilities of
FPGA.
Any advice is appreciated.
Buy a good book on computer arithmetic and implement the
operation yourself
(or alternatively search a bit hardware around the web and you're
bound to
find some example code). Don't expect the resultant hardware to be
small.
Algorithms like that used in the IBM 360/91 or Cray-1 could be implementedTo expand on what JonB said, there is a trade off between gate count and
number of clock cycles required to perform the operation.
To further expand...
Something that reads in Verilog like what you have above is
all-combinatorial logic, meaning no loops and no latches. Not even
microprocessors usually have combinatorial dividers, because of the
sheer amount of area required; you may want to see if you can't use a
clocked design instead. Common designs are 1, 2 or 4 bits per clock.