G
Gaurav Agarwal
Guest
Please help me out with this code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity comple2 is
Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
b : out STD_LOGIC_VECTOR (3 downto 0));
end comple2;
architecture Behavioral of comple2 is
signal D : out STD_LOGIC_VECTOR (3 downto 0);
begin
D <= (not a);
b <= D + "0001";
end Behavioral;
i am getting this error
ERROR:HDLParsers:3312 - "G:/xilinx_projects/Day1/comple2.vhd" Line 42. Undefined symbol 'D'.
ERROR:HDLParsers:1209 - "G:/xilinx_projects/Day1/comple2.vhd" Line 43. D: Undefined symbol (last report in this block)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity comple2 is
Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
b : out STD_LOGIC_VECTOR (3 downto 0));
end comple2;
architecture Behavioral of comple2 is
signal D : out STD_LOGIC_VECTOR (3 downto 0);
begin
D <= (not a);
b <= D + "0001";
end Behavioral;
i am getting this error
ERROR:HDLParsers:3312 - "G:/xilinx_projects/Day1/comple2.vhd" Line 42. Undefined symbol 'D'.
ERROR:HDLParsers:1209 - "G:/xilinx_projects/Day1/comple2.vhd" Line 43. D: Undefined symbol (last report in this block)