vhdl hexa assignation

J

Julien Lochen

Guest
Hello,

I have a very very basic problem with the cadence VHDL compiler


signal test : std_logic_vector(3 downto 0);
....
test_jl_3 <= "1010"; => OK

test_jl_3 <= x"A"; => gives expecting an expression of type
STD_LOGIC_VECTOR 87[8.3] 93[8.4]

why ?

thanks

julien
 
On Fri, 5 Dec 2008 08:09:31 -0800 (PST), Julien Lochen
<lochen@noos.fr> wrote:

Hello,

I have a very very basic problem with the cadence VHDL compiler


signal test : std_logic_vector(3 downto 0);
...
test_jl_3 <= "1010"; => OK

test_jl_3 <= x"A"; => gives expecting an expression of type
STD_LOGIC_VECTOR 87[8.3] 93[8.4]

why ?
You haven't enabled VHDL-93 features for compilation.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
jonathan.bromley@MYCOMPANY.com
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 

Welcome to EDABoard.com

Sponsor

Back
Top