Search arithmetic library

Y

Yannick

Guest
Hi,

I need to use the arithmetic library but I don't find it!

I need to use arithmetic.std_logic_arith and I don't want change for
another library.

Where I can find it?


Thks
 
On Feb 27, 12:46 pm, Yannick <yannick.d...@gmail.com> wrote:
Hi,

I need to use the arithmetic library but I don't find it!

I need to use arithmetic.std_logic_arith and I don't want change for
another library.

Where I can find it?

Thks
std_logic_arith is usually in the ieee library.
But dont use that, use ieee.numeric_std instead.
 
On Feb 27, 5:46 am, Yannick <yannick.d...@gmail.com> wrote:

I need to use arithmetic.std_logic_arith
No, you don't ...

-a
 
On 2 mar, 20:50, Andy Peters <goo...@latke.net> wrote:
On Feb 27, 5:46 am, Yannick <yannick.d...@gmail.com> wrote:

I need to use arithmetic.std_logic_arith

No, you don't ...

-a
I know that I can use iee library iee but this is not a acceptable
solution.
If you don't know where I can find it, please don't reply!

Nobody know where I can find this library ? (This is the question)

Thanks
 
On Sat, 7 Mar 2009 23:56:22 -0800 (PST), Yannick wrote:

I need to use arithmetic.std_logic_arith

No, you don't ...

I know that I can use iee library iee but this is not a acceptable
solution.
It is much more acceptable than using some non-standard
library and package.
For a new project, using std_logic_arith is wrong; as Tricky
correctly told you, you should use ieee.numeric_std instead.
Before snapping back at people, you should explain why that
is "not acceptable" - it works well for tens of thousands
of experienced users.

If you don't know where I can find it, please don't reply!
Andy knows far better than you how to find packages.

Nobody know where I can find this library ?
Just about everyone on this group. A brief search
would have turned up a ton of threads that you could
examine to learn more about the issues; I am not sure
I understand why you did not do that.

(This is the question)
Please take the trouble to write questions carefully;
yours was so vague that it invited frivolous and sarcastic
responses.

There is no such "library" as arithmetic.std_logic_arith;
if it exists at all, it is a package in the library "arithmetic".
That is not a standard library. It is possible that your
company/school/project has created such a library; if so,
then putting the std_logic_arith package into it is
strange, but possible. Open your simulator's GUI, and
look at its list of libraries. Do you find "arithmetic"
there? I doubt it.

All simulators have a precompiled std_logic_arith package;
as you have already been told, it will be in the ieee library.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
jonathan.bromley@MYCOMPANY.com
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 
On 27 Feb, 12:46, Yannick <yannick.d...@gmail.com> wrote:
Hi,

I need to use the arithmetic library but I don't find it!

I need to use arithmetic.std_logic_arith and I don't want change for
another library.

Where I can find it?

Thks
Useually for standard libraries they will be in the ieee tree. I say
use which ever you want, they are very similar, but some type
conversion issues exist.

library ieee;

use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

you could use ieee.numeric_std.all as well or instead of this will
slightly change the code needed, i.e. a slight cast changing, but not
much else will differ. The packages differ IIRC in that
std_logic_arith has arithmetic functions which produce
std_logic_vector, where as numeric_std is focused on producing numeric
results, which then can be cast/autocast to std_logic_vector.

There are many opinions, but i have had no concrete rational as to why
one is better than the other.

cheers jacko
 
Yannick wrote:
On 9 mar, 19:51, Jacko <jackokr...@gmail.com> wrote:
snip

I try to simulate a old design on ACTEL. For safety and no more step,
I need to use the same
library than the first compilation in 1999.

I know ieee library and I use it for all my design but I can't to use
it here. I haven't any choice, it's the protocol.

Voila

thanks

yannick
I would suggest you contact Actel Technical Support at tech@actel.com,
they may be able to make obsolete versions of their design software
available to you,

regards
Alan


--
Alan Fitch
Doulos
http://www.doulos.com
 
On 9 mar, 19:51, Jacko <jackokr...@gmail.com> wrote:
On 27 Feb, 12:46, Yannick <yannick.d...@gmail.com> wrote:

Hi,

I need to use the arithmetic library but I don't find it!

I need to use arithmetic.std_logic_arith and I don't want change for
another library.

Where I can find it?

Thks

Useually for standard libraries they will be in the ieee tree. I say
use which ever you want, they are very similar, but some type
conversion issues exist.

library ieee;

use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

you could use ieee.numeric_std.all as well or instead of this will
slightly change the code needed, i.e. a slight cast changing, but not
much else will differ. The packages differ IIRC in that
std_logic_arith has arithmetic functions which produce
std_logic_vector, where as numeric_std is focused on producing numeric
results, which then can be cast/autocast to std_logic_vector.

There are many opinions, but i have had no concrete rational as to why
one is better than the other.

cheers jacko
I try to simulate a old design on ACTEL. For safety and no more step,
I need to use the same
library than the first compilation in 1999.

I know ieee library and I use it for all my design but I can't to use
it here. I haven't any choice, it's the protocol.

Voila

thanks

yannick
 
On 12 mar, 17:34, Alan Fitch <alan.fitch@spamtrap.com> wrote:
Yannick wrote:
On 9 mar, 19:51, Jacko <jackokr...@gmail.com> wrote:

snip

I try to simulate a old design on ACTEL. For safety and no more step,
I need to use the same
library than the first compilation in 1999.

I know ieee library and I use it for all my design but I can't to use
it here. I haven't any choice, it's the protocol.

Voila

thanks

yannick

I would suggest you contact Actel Technical Support at tech@actel.com,
they may be able to make obsolete versions of their design software
available to you,

regards
Alan

--
Alan Fitch
Douloshttp://www.doulos.com
I try to contact us!!

Thanks
 

Welcome to EDABoard.com

Sponsor

Back
Top