S
server
Guest
message unavailable
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
Sorry everyone,Has anyone had any trouble using `include in Altera and/or Modelsim? I
have
a file in which a constant is `defined. The synthesizer will not accept
the
constant even though it does appear to be able to `include the file in
which
it is defined. I tried using a `define directly in the file but had the
same result.
What I want to do is to pass pipeline delay values back up the heirarchy
of
my design, such that the pipeline delay value at the top of the design is
automatically adjusted if I alter something at a lower level. I thought
about using `include files (one for each Verilog module) to communicate
this
information, but I had the problems mentioned above.
I then thought that perhaps I can use parameters as demonstrated below:
module1.v
=======
parameter module1_delay= n + module2_instance.module2_delay;
module2 module2_instance(...)
module2.v
=======
parameter module2_delay= m + module3_instance.module3_delay;
module3 module3_instance(...)
module3.v
=======
parameter module3_delay= p;
and so on. Is this a good idea?- it seems to be accepted by Quartus2 but
Modelsim complains that
"# ** Error: ../library/modulex.v(59): parameter value must be constant"
Has anyone any suggestions?
Thanks.
Does it allow you to modify generics in VHDL (which are essentiallyFigured I'd post this here, since I filed a defect report (DR
#00174902) in April and there's been no action.
Guess what very important feature Mentor Precision RTL synthesis does
NOT support -- but its predecessor, Leonardo, does?
You cannot override parameters from either the GUI or from a shell.
Believe it or not! A feature of Verilog that's been around, oh,
FOREVER! And, even worse is that they have no plans to address this
defect, as its status remains "assigned" and has not percolated up the
priority chain.
Point is: don't even bother considering this tool if you need to
parameterize your designs.
Hello all,
I'm trying to convert some VHDL code to Verilog and have a few syntax
problems. Can anyone see what I'm doing wrong in this code ?
// 18-06-04
module sync_compare_verilog(clk,aclr,enable,out,get_ready_pulse
,main_sync,sync_polarity,missing_sync,sync_valid,sync_out);
input
clk,aclr,enable,get_ready_pulse,main_sync,sync_polarity,missing_sync;
output sync_valid,sync_out;
reg sync_valid;
reg sync_out;
reg state;
parameter zero=0, one=1;
always @(state)
begin
case (state)
zero:
out = 1'b0;
one:
out = 1'b1;
default:
out = 1'b0;
endcase
end
always @(posedge clk or posedge aclr)
begin
if (aclr)
sync_valid = 1'b0;
sync_out = 1'b0;
state = zero;
else
case (state)
zero: // ----------------------------- STATE 0
if (enable == 1'b1) // wait for enable
if (get_ready_pulse == 1'b1)
if (main_sync == 1'b1) and (sync_polarity = 1'b0) // check which
way ..
sync_valid = 1'b1;
// sync_out = main_sync; -- = 1
state = one;
else if (main_sync == 1'b0) and (sync_polarity == 1'b1)
sync_valid = 1'b1;
// sync_out = main_sync; -- = '0'
state = one;
else
sync_valid = 1'b0;
// sync_out = main_sync; -- = '0'
state = zero; // wait for the start conditions ..
end;
else
sync_valid = 1'b0;
//sync_out = main_sync; -- = '0'
state = zero; // wait for the start conditions ..
end;
else
state = zero;
end;
one: // ---------------------------------------- STATE 1
if (missing_sync == 1'b1)
sync_valid = 1'b0;
state = zero;
else
state = one;
//sync_out = main_sync;
end;
endcase
end;
endmodule
The XST User Guide says that it supports the indexed vector part
selects.
I tried to write a simple module as follows to test the part-select.
However, when i run synthesize in ISE 6.1i, the "ERROR:Xst:850 - ttt.v
line 14: Unsupported" message occured.
What's the problem? I have already updated my ise version to 6.1.03i.
////////////////////////////////////////////////////////////////
module ttt(clk, Din, Dout);
input clk;
input [127:0] Din;
output [127:0] Dout;
reg [127:0] Dout;
reg [7:0] temp [0:15];
integer i;
always@(posedge clk)
begin
for(i=0;i<=15;i=i+1)
begin
temp=Din[(127-8*i) -:8]; //line 14
~~What's this mean?
end
Dout={temp[0],temp[1],temp[2],temp[3],
temp[4],temp[5],temp[6],temp[7],
temp[8],temp[9],temp[10],temp[11],
temp[12],temp[13],temp[14],temp[15]};
end
endmodule
////////////////////////////////////////////////////////////////
g9102025@mail.ttu.edu.tw (duststar) wrote in message news:<88809cfd.0406181417.250ca130@posting.google.com>...
The XST User Guide says that it supports the indexed vector part
selects.
I tried to write a simple module as follows to test the part-select.
However, when i run synthesize in ISE 6.1i, the "ERROR:Xst:850 - ttt.v
line 14: Unsupported" message occured.
What's the problem? I have already updated my ise version to 6.1.03i.
Your code works fine in NC-Verilog, so this must be an issue with XST.
Perhaps they require a command-line option to enable Verilog-2001
features. Or perhaps they support +: but not -:. Or perhaps they
don't like something about the expression you are using for the
starting bit position.
This bug was reported in comp.arch.fpga in January:The XST User Guide says that it supports the indexed vector part
selects.
I tried to write a simple module as follows to test the part-select.
However, when i run synthesize in ISE 6.1i, the "ERROR:Xst:850 - ttt.v
line 14: Unsupported" message occured.
What's the problem? I have already updated my ise version to 6.1.03i.
yxl4444@louisiana.edu (Lee) wrote in message news:<5c3c88bc.0406191558.3bf9eb50@posting.google.com>...
Dear all,
I have the following code,
module model_proto;
....
endmodule
module test;
model_proto inst 1
.
.
.
model_proto inst n
endmodele
Here, can I make n as flexible number (variable). When I call the
module in the other module, I can initialize n.
I need a module that have different number of instances. I don't like
to maintain two or more files. How can I make it?
In Verilog, you'll have to use generate/endgenerate, which can get messy.
Folks,
I am trying to put the large Path Memory for Trace Back of Viterbi
Decoder in Block RAM of Xilinx Viterx FPGA. Say we have a 64 states,
trellis depth of 100 Trace Back and one decoded bit is output every
clock cycle. The problem is that how to store this path memory in
[...]
If you use VCS, it has a sparse memory model.
You may also want to take a look at TestBuilder which has support for
sparse memory model as well.
HTH,
Jim (jimwu88NOOOSPAM@yahoo.com remve NOOOSPAM)
http://www.geocities.com/jimwu88/chips
morteza wrote:
hello all
can anyone help me?
I want to model large memories in verilog.But you know that for
modeling for example 1M RAM memory about 32M in system is requierd.
so it will be fine if we could model the RAM elements in PLI.
can anyone help me for writing or finding such PLI?
with very best wishes
Hi,
I'm a beginner in HDL. A GPIO specification is given requiring several
R/W registers driving and monitoring I/O pins on DigiLab board. I'm
not sure what R/W register is. Does it imply using
bi-directional/inout, or one input and one output?
Also, what does exactly GPIO do and what things I need to know before
designing the module.
Thanks very much,
triste
Folks,
I have this question for a long time, just could not get an answer.
Assume we have a design consisting of several blocks and some of those
blocks are hinhly regular (for example, a datapath). When we do P&R,
does the tool take the advantage of this regularity and place those
datapath element in series? Or it just does P&R globally without
looking at the local regularity?
The reason I am asking this is that we have a design with blocks which
are regular inside. But after P&R, the LUT utilization increases
dramatically, it seems it uses lots LUT for routing which isn't
expected just by looking at the regularity of the blocks.
Thanks.
Hello,
I am writing verilog code for an IP core. In my current code, the
input is feed into at the first clock cycle. But the output can't be
ready at the first cycle and it will be ready at the fourth clock
cycle.
In the real chip, how to deal with this in order to cooperate with
other chip in the same PCB board?Give some output signals and they can
indicate when the output is ready?
Tell me the good way to solve this kind of problem,please.
Thanks,
Hi,
To derive a slow clock, say 1 Hz from 50 MHz or 32.768 KHz, does
anyone know the difference between using cascaded divide-by-2 counters
and using a single long divider?
Thanks very much in advance.
How does the icarus compiler compare with the commercial ones, e.g.Hello people.
I will be maintaining recent snapshots of the Icarus Verilog compiler
for the Windows platform in easy to use installers at
http://armoid.com/icarus/. I have been doing this for more than a year
now for the people in my company so I thought, what the heck, for the
same effort I can benefit other users out there.
If you have other free related goodies that can be posted there
--like Verilog test files, utility scripts, etc.-- please email me at
mailtobleyer2004N@SPAMembedded.cl [N@SPAM->@]
Thanks for Stephen Williams for putting together such a nice Verilog
compiler for the community.
Regards.
--
PabloBleyerKocik /"...I didn't want to be kissing Kevin Spacey.
pbleyer2004 / Come on! Lying there naked with rose petals?"
@embedded.cl /- Kirsten Dunst on turning down American Beauty
I should add that Pablo has been sending me copies of his installerHello people.
I will be maintaining recent snapshots of the Icarus Verilog compiler
for the Windows platform in easy to use installers at
http://armoid.com/icarus/. I have been doing this for more than a year
now for the people in my company so I thought, what the heck, for the
same effort I can benefit other users out there.
If you have other free related goodies that can be posted there
--like Verilog test files, utility scripts, etc.-- please email me at
mailtobleyer2004N@SPAMembedded.cl [N@SPAM->@]
Thanks for Stephen Williams for putting together such a nice Verilog
compiler for the community.
Regards.
Extended VCD format is created by ModelSim. In the ModelSim there is aI want to write a waveform viewer, supporting VCD and EVCD. I have
VCD file format. Does anyone know where to get EVCD file format
definition ?
Happy coding.thanks
--stephen
p.os.ms-windows.networking.tcp-ip,comp.lang.verilogcomp.unix.cray,comp.windows.garnet,comp.os.ms-windows.programmer.winhelp,com