Concatenate bits

A

aleksa

Guest
constant size : integer := 32;
datain : in std_logic_vector(9 downto 0);
signal request : std_logic_vector(size-1 downto 0);

Now I wish to set "request" to "datain", like this:
request <= "0000000000000000000000" & datain;
(datain to lower bits, all other bits to zero)

How do I write that w/o all those zeros?
It should also work if I later change "size".

Thanks
 

Welcome to EDABoard.com

Sponsor

Back
Top