T
Thomas
Guest
Completed process "Generate Post-Translate Simulation Model".
ERROR: Hidden remap failed
Reason:
Launching Application for process "Simulate Post-Translate VHDL Model".
not only the software's stupid enough to launch the GUI after the error,
but there is not a single entry about this error in the xilinx database, on
the modelsim server or even in google (but me complaining about the same
problem a month ago)
The 'reason' field is as useful as usual
this time they just didn't
bother typing a message that makes no sense, they just left it empty.
here's the code:
process(Reset, MasterClock) is
variable counter : std_logic_vector(2 downto 0);
begin
if(Reset = '1') then
counter := "000";
elsif(rising_edge(MasterClock)) then
dividedclock <= counter(2);
counter := counter + 1;
end if;
end process;
so, all the calls to modelsim, regardless of the type (post translate, post
map, etc) fail the same way.
then 'generated expected simulation results' yields another interesting
problem:
if, in the timing constraints, I select the clock to be rising edge only,
it works; if I pick dual edge, it just 'skips' cycles every 30 or so in the
display and everything gets out of sync...
Any ideas?
ERROR: Hidden remap failed
Reason:
Launching Application for process "Simulate Post-Translate VHDL Model".
not only the software's stupid enough to launch the GUI after the error,
but there is not a single entry about this error in the xilinx database, on
the modelsim server or even in google (but me complaining about the same
problem a month ago)
The 'reason' field is as useful as usual
bother typing a message that makes no sense, they just left it empty.
here's the code:
process(Reset, MasterClock) is
variable counter : std_logic_vector(2 downto 0);
begin
if(Reset = '1') then
counter := "000";
elsif(rising_edge(MasterClock)) then
dividedclock <= counter(2);
counter := counter + 1;
end if;
end process;
so, all the calls to modelsim, regardless of the type (post translate, post
map, etc) fail the same way.
then 'generated expected simulation results' yields another interesting
problem:
if, in the timing constraints, I select the clock to be rising edge only,
it works; if I pick dual edge, it just 'skips' cycles every 30 or so in the
display and everything gets out of sync...
Any ideas?