M
max
Guest
I tried this source code: <p>entity main is <BR>
&nbsp;&nbsp;&nbsp;&nbsp;Port ( clk , en : in std_logic; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;sout : out std_logic); <BR>
end main; <p>architecture Behavioral of main is <BR>
begin <BR>
&nbsp;&nbsp;process <BR>
&nbsp;&nbsp;variable a : std_logic; <BR>
&nbsp;&nbsp;begin <BR>
&nbsp;&nbsp;&nbsp;&nbsp;sout <= '0'; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;a := '0'; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;wait until rising_edge(en); <BR>
&nbsp;&nbsp;&nbsp;&nbsp;while en = '1' loop <BR>
-- wait until rising_edge(clk); <BR>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;wait until clk'event and clk = '1'; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;a := not a; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;sout <= a; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;end loop; <BR>
&nbsp;&nbsp;end process; <p>end Behavioral; <p>and I obtain this error: <p>Analyzing Entity <main> (Architecture <behavioral>. <BR>
ERROR:Xst:825 - C:/Lavori/menfis/prova_xilinx/prova_2/main.vhd line xx: Wait statement in a procedure is not accepted. <p>The question is: can I use wait or not? <BR>
I found a lot of manual on the internet (eg. <a href="http://mikro.e-technik.uni-ulm.de/vhdl/anl-engl.syn/html/node8.html)">http://mikro.e-technik.uni-ulm.de/vhdl/anl-engl.syn/html/node8.html)</a>, and all of them sais that 'wait' is allowed in synthesis. <p>thanks
&nbsp;&nbsp;&nbsp;&nbsp;Port ( clk , en : in std_logic; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;sout : out std_logic); <BR>
end main; <p>architecture Behavioral of main is <BR>
begin <BR>
&nbsp;&nbsp;process <BR>
&nbsp;&nbsp;variable a : std_logic; <BR>
&nbsp;&nbsp;begin <BR>
&nbsp;&nbsp;&nbsp;&nbsp;sout <= '0'; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;a := '0'; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;wait until rising_edge(en); <BR>
&nbsp;&nbsp;&nbsp;&nbsp;while en = '1' loop <BR>
-- wait until rising_edge(clk); <BR>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;wait until clk'event and clk = '1'; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;a := not a; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;sout <= a; <BR>
&nbsp;&nbsp;&nbsp;&nbsp;end loop; <BR>
&nbsp;&nbsp;end process; <p>end Behavioral; <p>and I obtain this error: <p>Analyzing Entity <main> (Architecture <behavioral>. <BR>
ERROR:Xst:825 - C:/Lavori/menfis/prova_xilinx/prova_2/main.vhd line xx: Wait statement in a procedure is not accepted. <p>The question is: can I use wait or not? <BR>
I found a lot of manual on the internet (eg. <a href="http://mikro.e-technik.uni-ulm.de/vhdl/anl-engl.syn/html/node8.html)">http://mikro.e-technik.uni-ulm.de/vhdl/anl-engl.syn/html/node8.html)</a>, and all of them sais that 'wait' is allowed in synthesis. <p>thanks