E
eeh
Guest
Hi,
Could anyone tell me how to solve the following error?
Thanks!
ERROR:
HDLParsers:821 - "C:/terry/prj/fpga/counter/delayed_shift_reg.vhd" Line
41. Wrong index type for qlocal.
Code:
entity delayed_shift_reg is
Port ( data : in std_logic;
clk : in std_logic;
outp : out std_logic;
sel : in std_logic_vector(8 downto 0));
end delayed_shift_reg;
architecture Behavioral of delayed_shift_reg is
signal qLocal: std_logic_vector(255 downto 0);
begin
qLocal <= qLocal(254 downto 0) & data;
outp<=qlocal(sel);
end Behavioral;
Could anyone tell me how to solve the following error?
Thanks!
ERROR:
HDLParsers:821 - "C:/terry/prj/fpga/counter/delayed_shift_reg.vhd" Line
41. Wrong index type for qlocal.
Code:
entity delayed_shift_reg is
Port ( data : in std_logic;
clk : in std_logic;
outp : out std_logic;
sel : in std_logic_vector(8 downto 0));
end delayed_shift_reg;
architecture Behavioral of delayed_shift_reg is
signal qLocal: std_logic_vector(255 downto 0);
begin
qLocal <= qLocal(254 downto 0) & data;
outp<=qlocal(sel);
end Behavioral;