A
ALuPin@web.de
Guest
Hi newsgroup,
I want to write the hexadecimal representation of
a 64bit vector into a file. Which library do I have to use ?
The "std_textio" library does not seem to have a write function
for std_logic_vector, so I use "std_logic_textio" as overload
library. But how can I write the contents as hexadecimal format ?
Thank you for your opinion.
signal data64b : std_logic_vector(63 downto 0);
process(clk)
file F : text;
variable L : line;
begin
if rising_edge(clk) then
...
if ls_store='1' then
write(L, data64b);
writeline(F,L);
end if;
end process;
I want to write the hexadecimal representation of
a 64bit vector into a file. Which library do I have to use ?
The "std_textio" library does not seem to have a write function
for std_logic_vector, so I use "std_logic_textio" as overload
library. But how can I write the contents as hexadecimal format ?
Thank you for your opinion.
signal data64b : std_logic_vector(63 downto 0);
process(clk)
file F : text;
variable L : line;
begin
if rising_edge(clk) then
...
if ls_store='1' then
write(L, data64b);
writeline(F,L);
end if;
end process;