G
Gammaburst
Guest
Why does Synplify emit a syntax error in this example?
My fault, or unsupported Verilog feature?
ModelSim and Xilinx XST don't complain.
module test (clk, din, dout);
input clk;
input [7:0] din;
output [7:0] dout;
reg [7:0] a[0:2];
always @(posedge clk) begin
a[0] <= din;
a[1] <= a[0]; // this line compiles fine
a[2] <= a[1][7:0]; // Synplify error: "expected ;"
end
assign dout = a[2];
endmodule
My fault, or unsupported Verilog feature?
ModelSim and Xilinx XST don't complain.
module test (clk, din, dout);
input clk;
input [7:0] din;
output [7:0] dout;
reg [7:0] a[0:2];
always @(posedge clk) begin
a[0] <= din;
a[1] <= a[0]; // this line compiles fine
a[2] <= a[1][7:0]; // Synplify error: "expected ;"
end
assign dout = a[2];
endmodule