Guest
Hi,
I am using the following conversion in my code:
--------------------------------------
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
begin
....
ls_rom_rdaddress <std_logic_vector(to_unsigned(ls_rom_rdaddress_count, 6));
....
end;
When starting my functional simulation in Modelsim I get the following
warning:
Time: 122565394 ps Iteration: 4 Instance:
/tb_pattern_sequencer/uut1/i_tests
# ** Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated
Can someone explain ?
Thank you for your help.
Rgds
André
I am using the following conversion in my code:
--------------------------------------
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
begin
....
ls_rom_rdaddress <std_logic_vector(to_unsigned(ls_rom_rdaddress_count, 6));
....
end;
When starting my functional simulation in Modelsim I get the following
warning:
Time: 122565394 ps Iteration: 4 Instance:
/tb_pattern_sequencer/uut1/i_tests
# ** Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated
Can someone explain ?
Thank you for your help.
Rgds
André