J
Jimmy
Guest
Hi, all ,
I use syplify to synthesize the following vhdl. it comes out with
warnings for signal BitSync_temp, pnt. It seems from the coding logic it
can't have the mux problem since the assignment conditions exclude each
other...(to my understanding), could you give me some comments , thanks
first.
Warning:
@W: CL113 : Feedback mux created for signal BitSync_temp.
@W: CL113 : Feedback mux created for signal pnt[6:0].
process(Clk, Reset,MaxSearchEn)
variable pnt : std_logic_vector(6 downto 0); -- integer range 87 downto
0; 88= 101 1000
begin
if (Reset = '1') then
pnt := (others => '0');
BitSync_temp <= '0';
....
elsif (Clk'event and Clk = '1' and MaxSearchEn = '1') then
....
if (pnt < "1011000") then
pnt := pnt + '1';
else
pnt := (others => '0');
if (PeakValue(BitWidth+6 downto 3) >= "011111111111" ) then
BitSync_temp <= '1';
else
BitSync_temp <= '0';
end if;
end if;
end if;
end process;
regards,
Jimmy
I use syplify to synthesize the following vhdl. it comes out with
warnings for signal BitSync_temp, pnt. It seems from the coding logic it
can't have the mux problem since the assignment conditions exclude each
other...(to my understanding), could you give me some comments , thanks
first.
Warning:
@W: CL113 : Feedback mux created for signal BitSync_temp.
@W: CL113 : Feedback mux created for signal pnt[6:0].
process(Clk, Reset,MaxSearchEn)
variable pnt : std_logic_vector(6 downto 0); -- integer range 87 downto
0; 88= 101 1000
begin
if (Reset = '1') then
pnt := (others => '0');
BitSync_temp <= '0';
....
elsif (Clk'event and Clk = '1' and MaxSearchEn = '1') then
....
if (pnt < "1011000") then
pnt := pnt + '1';
else
pnt := (others => '0');
if (PeakValue(BitWidth+6 downto 3) >= "011111111111" ) then
BitSync_temp <= '1';
else
BitSync_temp <= '0';
end if;
end if;
end if;
end process;
regards,
Jimmy