Guest
Hello folks,
I would like to assign a bit in std_logic_vector array to a std_logic
value, is it permissible? i assume that std_logic_vector IS ARRAY OF
std_logic type !
I have mentioned below few piece of my code for illustration:
(its a part of my testbench)
I need to sent each bit of the std_logic_vector array at every clock
cycle.
--Declarations
D : std_logic;
data_in : std_logic_vector(31 downto
0):="01010000000000000000001100000000"
Data_inp: process(clk)
begin
if (clk'event and clk = '1') then
for i in 0 to data_in'length loop
D <= data_in(i);
end loop;
end if;
end process Data_inp;
ERROR: FATAL ERROR : Index 32 out of range(31 downto 0).
(I assume it says that we can assign 32 bits to a one bit std_logic)
Thanks in Advance,
ALI
I would like to assign a bit in std_logic_vector array to a std_logic
value, is it permissible? i assume that std_logic_vector IS ARRAY OF
std_logic type !
I have mentioned below few piece of my code for illustration:
(its a part of my testbench)
I need to sent each bit of the std_logic_vector array at every clock
cycle.
--Declarations
D : std_logic;
data_in : std_logic_vector(31 downto
0):="01010000000000000000001100000000"
Data_inp: process(clk)
begin
if (clk'event and clk = '1') then
for i in 0 to data_in'length loop
D <= data_in(i);
end loop;
end if;
end process Data_inp;
ERROR: FATAL ERROR : Index 32 out of range(31 downto 0).
(I assume it says that we can assign 32 bits to a one bit std_logic)
Thanks in Advance,
ALI