M
maxascent
Guest
I want to do something like this
signal slv : std_logic_vector(7 downto 0);
signal sl : std_logic;
signal res : std_logic;
res <= slv = x"01" and sl = '1';
But I get an error. I guess its something to do with them being differen
types. But I would of thought that the two terms would both resolve to 1 o
0 and then I could just "and" them.
Jon
---------------------------------------
Posted through http://www.FPGARelated.com
signal slv : std_logic_vector(7 downto 0);
signal sl : std_logic;
signal res : std_logic;
res <= slv = x"01" and sl = '1';
But I get an error. I guess its something to do with them being differen
types. But I would of thought that the two terms would both resolve to 1 o
0 and then I could just "and" them.
Jon
---------------------------------------
Posted through http://www.FPGARelated.com