VHDL import error message

R

Rene

Guest
Hello!

I try to import a VHDL-file an I get an error message:

*** glibc detected *** /usr/cadence/ic5141/tools/dfII/bin/vhdlin.exe:
double free or corruption (!prev): 0x09c1a8e0 ***

It's the same on CentOS (RedHat) or Ubuntu machines.
But confusing is that my colleagues with the same import-file don't have
any problems on the same computers. I don't know where to look.

Any ideas?
Thanks for your help in advance.

Best regards
René

Here ist the contents of the input-file (if necessary):

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;


entity tbg is
port (
en : out std_logic;
clk : out std_logic;
dig : out std_logic_vector(7 downto 0));

end tbg;

architecture beh of tbg is
signal mm : unsigned(7 downto 0):= "00000000" ;
begin -- beh
process
begin -- process
wait for 1 ms;
mm <= mm + 1;
dig <= std_logic_vector(mm);
wait for 10 ns;
en <= '1';
wait for 1 us;
en <= '0';
end process;

Process
Begin
clk <= '0';
wait for 500 ns;
clk <= '1';
wait for 500 ns;
End Process;
end beh;
 

Welcome to EDABoard.com

Sponsor

Back
Top