S
Shahab
Guest
Hi.. <br><br>I have one question regarding xilinx ise webpack. <br><br>Why it doesnt give any error of type missing source code . bcoz I tried to run some codes on xilinx ise webpack and then on altera maxpllus II. <br><br>On altera I was continuously getting messges like missing source code "C0" while the same code was running fine on xilinx tool. <br><br>the code is : <br><br>library ieee; <br>
use ieee.std_logic_1164.all; <br><br>entity fib is <br>
&nbsp;&nbsp;&nbsp;port (Clock,Clear: in std_ulogic; <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Load: in std_ulogic; <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Data_in: in std_ulogic_vector(15 downto 0); <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;S: out std_ulogic_vector(15 downto 0)); <br>
end entity fib; <br><br>architecture behavior of fib is <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal Restart,Cout: std_ulogic; <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal Stmp: std_ulogic_vector(15 downto 0); <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal X, Y: std_ulogic_vector(15 downto 0); <br>
signal C : std_ulogic_vector (15 downto 0 ); <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal Zero: std_ulogic; <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal CarryIn, CarryOut: std_ulogic_vector(15 downto 0); <br><br>begin <br>
&nbsp;&nbsp;&nbsp;&nbsp;P1: process(Clock) <br>
&nbsp;&nbsp;&nbsp;&nbsp;begin <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if rising_edge(Clock) then <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Restart <= Cout; end if; end process P1; <br><br> Stmp <= X xor y xor CarryIn; Zero <= '1' when Stmp = "0000000000000000" else '0'; <br><br> CarryIn <= C(15 downto 1) & '0'; CarryOut <= (Y and X) or ((Y or X) and CarryIn); C(15 downto 1) <= CarryOut(14 downto 0); Cout <= CarryOut(15); <br><br> P2: process(Clock,Clear,Restart) <br>
&nbsp;&nbsp;&nbsp;&nbsp;begin <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if Clear = '1' or Restart = '1' then <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;X <= "0000000000000000"; Y <= "0000000000000000"; elsif rising_edge(Clock) then if Load = '1' then X <= Data_in; elsif Zero = '1' then X <= "0000000000000001"; else X <= Y; end if; Y <= Stmp; end if; end process P2; <br><br> S <= Stmp; end behavior; <br><br>I would like to know what is the addition in xilinx ise which altera maxplus II doesnt have? <br><br>Waiting for your reply. <br><br>Shahabuddin Inamdar
use ieee.std_logic_1164.all; <br><br>entity fib is <br>
&nbsp;&nbsp;&nbsp;port (Clock,Clear: in std_ulogic; <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Load: in std_ulogic; <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Data_in: in std_ulogic_vector(15 downto 0); <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;S: out std_ulogic_vector(15 downto 0)); <br>
end entity fib; <br><br>architecture behavior of fib is <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal Restart,Cout: std_ulogic; <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal Stmp: std_ulogic_vector(15 downto 0); <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal X, Y: std_ulogic_vector(15 downto 0); <br>
signal C : std_ulogic_vector (15 downto 0 ); <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal Zero: std_ulogic; <br>
&nbsp;&nbsp;&nbsp;&nbsp;signal CarryIn, CarryOut: std_ulogic_vector(15 downto 0); <br><br>begin <br>
&nbsp;&nbsp;&nbsp;&nbsp;P1: process(Clock) <br>
&nbsp;&nbsp;&nbsp;&nbsp;begin <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if rising_edge(Clock) then <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Restart <= Cout; end if; end process P1; <br><br> Stmp <= X xor y xor CarryIn; Zero <= '1' when Stmp = "0000000000000000" else '0'; <br><br> CarryIn <= C(15 downto 1) & '0'; CarryOut <= (Y and X) or ((Y or X) and CarryIn); C(15 downto 1) <= CarryOut(14 downto 0); Cout <= CarryOut(15); <br><br> P2: process(Clock,Clear,Restart) <br>
&nbsp;&nbsp;&nbsp;&nbsp;begin <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if Clear = '1' or Restart = '1' then <br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;X <= "0000000000000000"; Y <= "0000000000000000"; elsif rising_edge(Clock) then if Load = '1' then X <= Data_in; elsif Zero = '1' then X <= "0000000000000001"; else X <= Y; end if; Y <= Stmp; end if; end process P2; <br><br> S <= Stmp; end behavior; <br><br>I would like to know what is the addition in xilinx ise which altera maxplus II doesnt have? <br><br>Waiting for your reply. <br><br>Shahabuddin Inamdar