A
Allan Herriman
Guest
Hi,
Does anyone know if XST 6.1.3 supports the "indexed part select"
feature of Verilog 2001? (It's in section 4.2.1 of the LRM.)
The Xilinx documentation states explicitly that it does support this
feature, yet when I try to use it, I get this error message:
ERROR:Xst:850 - foo.v line 134: Unsupported .
Example code:
reg [7:0] bar;
wire [31:0] foo;
genvar j;
generate
for (j=0; j<8; j=j+1) begin : label
always @(posedge clk)
// error on next line:
bar[j] <= &foo[4*j +: 4];
end
endgenerate
Is there something special I have to do to enable 2001 support?
Thanks,
Allan.
Does anyone know if XST 6.1.3 supports the "indexed part select"
feature of Verilog 2001? (It's in section 4.2.1 of the LRM.)
The Xilinx documentation states explicitly that it does support this
feature, yet when I try to use it, I get this error message:
ERROR:Xst:850 - foo.v line 134: Unsupported .
Example code:
reg [7:0] bar;
wire [31:0] foo;
genvar j;
generate
for (j=0; j<8; j=j+1) begin : label
always @(posedge clk)
// error on next line:
bar[j] <= &foo[4*j +: 4];
end
endgenerate
Is there something special I have to do to enable 2001 support?
Thanks,
Allan.