T
titi
Guest
When I use a component, is it recommended to connect each signal?
Is it possible to use the same component, without creating the "unused"
signal?
for example:
entity my_component is
port(some_input : in std_logic;
some_output : out std_logic_vector(3 downto 0));
end my_component;
....
signal unused : std_logic_vector(1 downto 0);
signal data : std_logic_vector(1 downto 0);
signal i : std_logic;
....
my_component : my_component
port map (
some_input => i,
some_output(0)=>data(0),
some_output(1)=>data(1),
some_output(2)=>unused(0),
some_output(3)=>unused(1)
);
Is it possible to use the same component, without creating the "unused"
signal?
for example:
entity my_component is
port(some_input : in std_logic;
some_output : out std_logic_vector(3 downto 0));
end my_component;
....
signal unused : std_logic_vector(1 downto 0);
signal data : std_logic_vector(1 downto 0);
signal i : std_logic;
....
my_component : my_component
port map (
some_input => i,
some_output(0)=>data(0),
some_output(1)=>data(1),
some_output(2)=>unused(0),
some_output(3)=>unused(1)
);