A
ashu
Guest
while compiling the program i am getting the error: "Time" is an
unsupported type
the code is underementioned
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ram is
generic (mem_size : natural := 256; -- Size of the memory in words
latency : Time := 0 ns);
what cud be the reson ?
unsupported type
the code is underementioned
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ram is
generic (mem_size : natural := 256; -- Size of the memory in words
latency : Time := 0 ns);
what cud be the reson ?