Unsupported predefined attribute

J

John

Guest
Hi, <BR>
I just got the following error today. I was compiling it yesterday with Xilinx ISE webpack 6.1, and don't know what caused this change. DELAYED attribute is unsupported? Has anyone hit that problem?? The following is the error, and after that is the source. Thanks. <p>ERROR:HDLParsers:1502 - c:/programs/vhdl/ise6/test.vhd Line 14. The <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;predefined attribute delayed is unsupported <BR>
tdtfi(vhdl) completed successfully. <BR>
Release 6.1.01i - spl2sym G.24 <BR>
Copyright (c) 1995-2003 Xilinx, Inc. All rights reserved. <BR>
Completed process "Create Schematic Symbol". <p>library IEEE; <BR>
use IEEE.STD_LOGIC_1164.ALL; <BR>
use IEEE.STD_LOGIC_ARITH.ALL; <BR>
use IEEE.STD_LOGIC_UNSIGNED.ALL; <p>entity test is <BR>
&amp;nbsp;&amp;nbsp;port(in_a: in std_logic; out_a: out std_logic); <BR>
end test; <p>architecture Behavioral of test is <BR>
&amp;nbsp;&amp;nbsp;signal in_a_delay: std_logic; <BR>
begin <BR>
&amp;nbsp;&amp;nbsp;in_a_delay &lt;= in_a'delayed(1 ns); <BR>
&amp;nbsp;&amp;nbsp;process(in_a_delay) <BR>
&amp;nbsp;&amp;nbsp;begin <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;out_a &lt;= in_a_delay; <BR>
&amp;nbsp;&amp;nbsp;end process; <BR>
end Behavioral;
 

Welcome to EDABoard.com

Sponsor

Back
Top