O
Olaf Petzold
Guest
Hi,
is there a way to create unconstrained structures, in the sense of:
package la_pkg is
type trigger_cond_t is
record
bitpattern_value : std_logic_vector;
bitpattern_mask : std_logic_vector;
edgepattern_value : std_logic_vector;
edgepattern_mask : std_logic_vector;
end record;
end la_pkg;
....
entity trigger is
generic(
BIT_WIDTH : positive := 8
);
port(
clk : in std_logic;
trigger_cond : in trigger_cond_t; ??(BIT_WIDTH-1 downto 0)
match : out std_logic := '0'
);
end entity;
Thanks
Olaf
is there a way to create unconstrained structures, in the sense of:
package la_pkg is
type trigger_cond_t is
record
bitpattern_value : std_logic_vector;
bitpattern_mask : std_logic_vector;
edgepattern_value : std_logic_vector;
edgepattern_mask : std_logic_vector;
end record;
end la_pkg;
....
entity trigger is
generic(
BIT_WIDTH : positive := 8
);
port(
clk : in std_logic;
trigger_cond : in trigger_cond_t; ??(BIT_WIDTH-1 downto 0)
match : out std_logic := '0'
);
end entity;
Thanks
Olaf