M
MariuszK
Guest
Hello,
I have:
Active HDL 7.1+sp2
-DesignFlowUpdatefor7[1].1sp2.exe
-XilinxSchematicLibrariesISE8[1].2sp2forActive-HDL7.1(..).exe
-XilinxVHDLLibrariesISE8[1].2SP2withIPUpdate1forActiv(..).exe
ISE 8.2+sp2
Why, in timing simulation output signals always equal XX?
Synthesis and implementation without warnings (errors). Simulation
after synthesis work correctly.
Should I install any additional packages?
Should be used global reset?
Problem is global (independent of module, entity, used family-Virtex1-4
). Below the simplest example, where this error occur:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity MyTestSimple is
port(
CLK : in STD_LOGIC;
in1 : in STD_LOGIC_VECTOR(7 downto 0);
in2 : in STD_LOGIC_VECTOR(7 downto 0);
out1 : out STD_LOGIC_VECTOR(7 downto 0)
);
end MyTestSimple;
--}} End of automatically maintained section
architecture MyTestSimple of MyTestSimple is
begin
process(CLK)
begin
if CLK'event and CLK='1' then
-- enter your statements here --
out1 <= in1 + in2;
end if;
end process;
end MyTestSimple;
Best Regards
Mariusz
I have:
Active HDL 7.1+sp2
-DesignFlowUpdatefor7[1].1sp2.exe
-XilinxSchematicLibrariesISE8[1].2sp2forActive-HDL7.1(..).exe
-XilinxVHDLLibrariesISE8[1].2SP2withIPUpdate1forActiv(..).exe
ISE 8.2+sp2
Why, in timing simulation output signals always equal XX?
Synthesis and implementation without warnings (errors). Simulation
after synthesis work correctly.
Should I install any additional packages?
Should be used global reset?
Problem is global (independent of module, entity, used family-Virtex1-4
). Below the simplest example, where this error occur:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity MyTestSimple is
port(
CLK : in STD_LOGIC;
in1 : in STD_LOGIC_VECTOR(7 downto 0);
in2 : in STD_LOGIC_VECTOR(7 downto 0);
out1 : out STD_LOGIC_VECTOR(7 downto 0)
);
end MyTestSimple;
--}} End of automatically maintained section
architecture MyTestSimple of MyTestSimple is
begin
process(CLK)
begin
if CLK'event and CLK='1' then
-- enter your statements here --
out1 <= in1 + in2;
end if;
end process;
end MyTestSimple;
Best Regards
Mariusz