Testbench Question: Internal signals.

B

BLF

Guest
I am using Aldec 7.1. I wrote a testbench and was able to view the
input and output signals as called out in the Entity of the design
until I wanted to view an internal signal.

My question is how do I view the internal signals such as the
"next_state" of a state machine in the design?

Do I have to assign them to a port in order to view them when I run
the testbench. I.E "Buffered_State <= Next_State;" ????


entity Detection is
port (

.
.
.
Buffered_State : out std_logic_vector;
.
.

);
end Detection;

architecture RTL of Detection is

begin
state_clocked:process(clk) begin
if rising_edge(clk) then
state <= next_state;
end if;
end process state_clocked;
.
.
.

----------------------------------------------------------------
case statements
---------------------------------------------------------------.
.
.
.

buffered_state <= next_state;

.
.
.

end RTL;

However if I rewrite the code to output the "states" to an "out" port,
I get the error message:

Assignment target incompatible with right side. Expected type
"std_logic_vector".

I can understand the reason why it says this since the "type" is
"StateType" for the signal "next_state".

So once again, How do I go about viewing this internal signal?
 
Yes. I do have that. Never used it before.
~BLF

On Thu, 5 Feb 2009 07:01:09 -0800 (PST), "ALuPin@web.de"
<ALuPin@web.de> wrote:

Hi,

do you have access to the "signal agent" feature
in your Aldec ActiveHDL version ?

Rgds,
ALuPin
 
Hi,

do you have access to the "signal agent" feature
in your Aldec ActiveHDL version ?

Rgds,
ALuPin
 
BLF wrote:

So once again, How do I go about viewing this internal signal?
discover "add wave"
http://support.aldec.com/KnowledgeBase/Article.aspx?aid=000656&show=Cmd00101.htm
 
On Thu, 05 Feb 2009 10:04:18 -0800, Mike Treseler
<mtreseler@gmail.com> wrote:

BLF wrote:

So once again, How do I go about viewing this internal signal?

discover "add wave"
http://support.aldec.com/KnowledgeBase/Article.aspx?aid=000656&show=Cmd00101.htm

Thank you very much. I will give both methods given a try.

~BLF
 
On Thu, 05 Feb 2009 18:47:06 GMT, BLF <b......f2@yahoo.com> wrote:

On Thu, 05 Feb 2009 10:04:18 -0800, Mike Treseler
mtreseler@gmail.com> wrote:

BLF wrote:

So once again, How do I go about viewing this internal signal?

discover "add wave"
http://support.aldec.com/KnowledgeBase/Article.aspx?aid=000656&show=Cmd00101.htm


Thank you very much. I will give both methods given a try.

~BLF
I got it figured out!!!!

Now I can view the internal states.

There is an example of how to use "signal agent", called "SIGNALAGENT"
located the ALDEC directory "Samples_71".

"C:\My_Designs\Samples_71" is the default location for this directory
when Aldec was originally installed. I used the example as my
template and now it works great!!!


Thanks ALuPin.

Thank you to Mike. I added the "wave" command in my "DO" macro so I
wouldn't have to "right click" and manually add the signal to the
"Waveform Editor", or use the command "add wave" via the command
console to add the signal "next_state" to the "waveform editor".

~BLF
 

Welcome to EDABoard.com

Sponsor

Back
Top