Guest
I have a few system verilog questions that I was hoping someone might
be able to answer:
(1) Is it legal (syntactically i mean, as opposed to allowed by any
given simulator) to reference a signal in a clocking block as a
functional coverage point: in particular, is something like this legal:
interface itfc();
clocking cb @(posedge clk);
input sig = <hierarchical name>;
endclocking
endinterface
module mod(itfc i);
covergroup cg @(i.cb);
coverpoint i.cb.sig;
endgroup
endmodule
(2) in the example module above, does cg need to be instantiated
somewhere with new()?
Any insight will be greatly appreciated.
be able to answer:
(1) Is it legal (syntactically i mean, as opposed to allowed by any
given simulator) to reference a signal in a clocking block as a
functional coverage point: in particular, is something like this legal:
interface itfc();
clocking cb @(posedge clk);
input sig = <hierarchical name>;
endclocking
endinterface
module mod(itfc i);
covergroup cg @(i.cb);
coverpoint i.cb.sig;
endgroup
endmodule
(2) in the example module above, does cg need to be instantiated
somewhere with new()?
Any insight will be greatly appreciated.