N
Nisheeth
Guest
hello group
I have been using Xilinx ISE + Modelsim for last 2
months.During this time i made small projects and did simulation. I
tried to carry out next logical step after simulation this
time..translate,map,P&R.
Though the following code compiled w/o error and simulation was ok but
gave error in translate step.
Code
---
entity result_out is
port (
pe_row : in std_logic_vector(7 downto 0);
ws_row : in std_logic_vector(7 downto 0);
clock : in std_logic;
pe_moving_row: out std_logic_vecotr(7 downto 0)
);
end entity;
architecture behavioural of result_out is
begin
process(clock,pe_row,ws_row)
begin
if clock='1' and clock'event then
pe_moving_row<=pe_row + ws_row;
else
pe_moving_row<=(others=>'Z');
end if;
end process;
end architecture;
-----
Error-> Signal pe_moving_row cannot be synthesized, bad synchronous
description.
After hit and trial i found removing clock'event makes the thing
work...but i have no clue why....can anyonet tell me why ?
A bit info about how this block will be used...
16 "result_out" blocks will be connected in parallel and at a time
only 1 "result_out" will output data on "pe_moving_row"
bus...depending on to which block clock is supplied...
Regards
Nisheeth
I have been using Xilinx ISE + Modelsim for last 2
months.During this time i made small projects and did simulation. I
tried to carry out next logical step after simulation this
time..translate,map,P&R.
Though the following code compiled w/o error and simulation was ok but
gave error in translate step.
Code
---
entity result_out is
port (
pe_row : in std_logic_vector(7 downto 0);
ws_row : in std_logic_vector(7 downto 0);
clock : in std_logic;
pe_moving_row: out std_logic_vecotr(7 downto 0)
);
end entity;
architecture behavioural of result_out is
begin
process(clock,pe_row,ws_row)
begin
if clock='1' and clock'event then
pe_moving_row<=pe_row + ws_row;
else
pe_moving_row<=(others=>'Z');
end if;
end process;
end architecture;
-----
Error-> Signal pe_moving_row cannot be synthesized, bad synchronous
description.
After hit and trial i found removing clock'event makes the thing
work...but i have no clue why....can anyonet tell me why ?
A bit info about how this block will be used...
16 "result_out" blocks will be connected in parallel and at a time
only 1 "result_out" will output data on "pe_moving_row"
bus...depending on to which block clock is supplied...
Regards
Nisheeth