S
Sylvain Munaut
Guest
Hello,
To ease the entry of 32 bits constants, I'd like to be have things like :
signal test : std_logic_vector(31 downto 0);
test <= x"01234567";
And that works fine in XSE & Symplify.
But when I simulate on ModelSim, that doesn't work, I'm forced to used the
heavier
test <= to_stdlogicvector(x"01234567");
But that doesn't work in XSE ! It says that to_stdlogicvector can mean
two things in this context.
Is there a way to make ModelSim understand the first notation ?
Sylvain
To ease the entry of 32 bits constants, I'd like to be have things like :
signal test : std_logic_vector(31 downto 0);
test <= x"01234567";
And that works fine in XSE & Symplify.
But when I simulate on ModelSim, that doesn't work, I'm forced to used the
heavier
test <= to_stdlogicvector(x"01234567");
But that doesn't work in XSE ! It says that to_stdlogicvector can mean
two things in this context.
Is there a way to make ModelSim understand the first notation ?
Sylvain