F
fpgawizz
Guest
I have this state machine where in reset state , I assign four signals (8
bit stdlogic vecs) values..and then in the next state i try to read their
values and pass it on to a display..for some reason, i get all zeros..i
used modelsim to simulate and it looked good..
here is the snippet..
case current_state is
when RESET =>
R0 <= "11110001";
R1 <= "01010101";
R2 <= "10111100";
R3 <= "11001101";
next_state <= IDLE;
when IDLE =>
sd0 <= R0(3 downto 0);
sd1 <= R0(7 downto 4);
sd2 <= R1(3 downto 0);
sd3 <= R1(7 downto 4);
if BTN0 = '1' then
next_state <= ChkOp;
else
next_state <= IDLE;
end if;
when ChkOp =>
yada yada yada.....
sd0,sd1,sd2 and sd3 are connected to my display which is running at 1KHz.
My state machine is running at abot 250 Hz. Any ideas why my 4 outputs are
at zero and not displaying the correct values.
thanks
bit stdlogic vecs) values..and then in the next state i try to read their
values and pass it on to a display..for some reason, i get all zeros..i
used modelsim to simulate and it looked good..
here is the snippet..
case current_state is
when RESET =>
R0 <= "11110001";
R1 <= "01010101";
R2 <= "10111100";
R3 <= "11001101";
next_state <= IDLE;
when IDLE =>
sd0 <= R0(3 downto 0);
sd1 <= R0(7 downto 4);
sd2 <= R1(3 downto 0);
sd3 <= R1(7 downto 4);
if BTN0 = '1' then
next_state <= ChkOp;
else
next_state <= IDLE;
end if;
when ChkOp =>
yada yada yada.....
sd0,sd1,sd2 and sd3 are connected to my display which is running at 1KHz.
My state machine is running at abot 250 Hz. Any ideas why my 4 outputs are
at zero and not displaying the correct values.
thanks