S
Simone Winkler
Guest
Hello!
I'm not programming VHDL now for a long time, so I've got a problem, that is
for sure quite simple:
I've got a vhdl source code that I took from the Xilinx Application Notes.
One of the ports is an inout that has 32 bits. Now what I want is two
16bit-ports. I JUST want to have the two 16bit ports on the entity, but it
doesn't matter if the vhdl code works with the 32bit-signal, so I just want
to put the two 16bit-signals together after declaring the entity.
so what i did was:
entity ... is
port( sys_data: inout std_logic_vector(15 downto 0);
sys_addr: inout std_logic_vector(15 downto 0);
...
);
end entity ...;
architechture behavioural of ... is
signal AD: std_logic_vector(31 downto 0);
...
component (...)
...
begin
%component instantiations%
process(...)
...
end process;
process(...)
...
end process;
AD <= sys_addr(15 downto 0) & sys_data(15 downto 0);
...
end architecture;
WHY doesn't it work?
When I do a behavioural simulation with modelsim, AD is undefined (all the
16 bits) and nearly everything else too.
Please don't *kill* me, because it's a silly question.. i just can't find a
solution!
THANX!
Simone
I'm not programming VHDL now for a long time, so I've got a problem, that is
for sure quite simple:
I've got a vhdl source code that I took from the Xilinx Application Notes.
One of the ports is an inout that has 32 bits. Now what I want is two
16bit-ports. I JUST want to have the two 16bit ports on the entity, but it
doesn't matter if the vhdl code works with the 32bit-signal, so I just want
to put the two 16bit-signals together after declaring the entity.
so what i did was:
entity ... is
port( sys_data: inout std_logic_vector(15 downto 0);
sys_addr: inout std_logic_vector(15 downto 0);
...
);
end entity ...;
architechture behavioural of ... is
signal AD: std_logic_vector(31 downto 0);
...
component (...)
...
begin
%component instantiations%
process(...)
...
end process;
process(...)
...
end process;
AD <= sys_addr(15 downto 0) & sys_data(15 downto 0);
...
end architecture;
WHY doesn't it work?
When I do a behavioural simulation with modelsim, AD is undefined (all the
16 bits) and nearly everything else too.
Please don't *kill* me, because it's a silly question.. i just can't find a
solution!
THANX!
Simone