K
kclo4
Guest
Hi everybody,
I'd like to know if there is any smart way to extend the sign of
std_logic_vector
for exemple :
data_in : in std_logic_vector(11 downto 0);
data_out : out std_logic_vector(13 downto 0);
I want to adjust data_in to data_out size, so what i used to do is:
data_out <= data_in(11) & data_in(11) & data_in;
But for huge different size it's painfull and not really nice.
Is there a smarter way to do it?? May be I should do a function with a
loop that do it??
Thank you
I'd like to know if there is any smart way to extend the sign of
std_logic_vector
for exemple :
data_in : in std_logic_vector(11 downto 0);
data_out : out std_logic_vector(13 downto 0);
I want to adjust data_in to data_out size, so what i used to do is:
data_out <= data_in(11) & data_in(11) & data_in;
But for huge different size it's painfull and not really nice.
Is there a smarter way to do it?? May be I should do a function with a
loop that do it??
Thank you