P
Pasacco
Guest
In VHDL code, following libraries and signals are defined.
-------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
.....
signal HF : std_logic_vector(15 downto 0);
signal LF : std_logic_vector(15 downto 0);
-------------------
Problem is that
Following "shift right" is not working.
--------------------
HF <= LF srl 2; -- logical shift right
--------------------
Modelsim 6.1c reports following error :
-------------------
# ** Error: HF.vhd(113): No feasible entries for infix operator "srl".
# ** Error: HF.vhd(113): Type error resolving infix expression "srl"
as type std_logic_vector.
-------------------
I tried different ways, such as "SHR, SHIFT_RIGHT" with different data
types.
If anyone had same problem, please let me know how to resolve this
problem.
thank you in advance
-------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
.....
signal HF : std_logic_vector(15 downto 0);
signal LF : std_logic_vector(15 downto 0);
-------------------
Problem is that
Following "shift right" is not working.
--------------------
HF <= LF srl 2; -- logical shift right
--------------------
Modelsim 6.1c reports following error :
-------------------
# ** Error: HF.vhd(113): No feasible entries for infix operator "srl".
# ** Error: HF.vhd(113): Type error resolving infix expression "srl"
as type std_logic_vector.
-------------------
I tried different ways, such as "SHR, SHIFT_RIGHT" with different data
types.
If anyone had same problem, please let me know how to resolve this
problem.
thank you in advance