Guest
Hi:
I'm wondering if anyone knows of a script of program which will expand
the buses and ports? I'm looking to create a symbol from a VHDL module
and having a great deal of problems. Some of the code I would need to
handle:
o_pin_top_sdram_clk_en : out std_logic;
not a problem, one output pin.
i_pin_bottom_fedback_clk_in : in std_logic_vector(1 downto 0);
All right, two bits 1 and 0 on an input pin...
io_pin_sdram_dqs : inout
std_logic_vector((get_Memdqstapwidth(Mem_array_width,
SDRAM_DATA_WIDTH, Parity_present)*SDRAM_DATA_WIDTH/8) - 1 downto 0) ;
What the ???
I'm not a VHDL coder, just trying to generate a symbol. I'm wondering
if anyone knows of a tool or script or Perl Module which could parse
this and bit blast it out.
Thanks for any help.
Tom
I'm wondering if anyone knows of a script of program which will expand
the buses and ports? I'm looking to create a symbol from a VHDL module
and having a great deal of problems. Some of the code I would need to
handle:
o_pin_top_sdram_clk_en : out std_logic;
not a problem, one output pin.
i_pin_bottom_fedback_clk_in : in std_logic_vector(1 downto 0);
All right, two bits 1 and 0 on an input pin...
io_pin_sdram_dqs : inout
std_logic_vector((get_Memdqstapwidth(Mem_array_width,
SDRAM_DATA_WIDTH, Parity_present)*SDRAM_DATA_WIDTH/8) - 1 downto 0) ;
What the ???
I'm not a VHDL coder, just trying to generate a symbol. I'm wondering
if anyone knows of a tool or script or Perl Module which could parse
this and bit blast it out.
Thanks for any help.
Tom