T
taco
Guest
I try to convert the following vhdl into verilog:
architecture rtl of ROM is
signal A_r : std_logic_vector(11 downto 0);
type rom_type is array(0 to 2645) of std_logic_vector(7 downto 0);
signal ROM:rom_type := (
X"02",
X"00",
X"08",
X"12",
etc.
This vhdl code is synthesized super fast.(It's automatically generated code
from compiled c using some scripting).But what's the verilog equivalent of
this?
I tried something like:
always @(posedge Clk) begin
case (A)
12'h000 : D = 8'h02;
12'h001 : D = 8'h00;
12'h002 : D = 8'h08;
etc. but this results in a huge synthesize operation for the Xilinx ISE
program which I use. Anybody the syntax at hand for doing this?
Taco
architecture rtl of ROM is
signal A_r : std_logic_vector(11 downto 0);
type rom_type is array(0 to 2645) of std_logic_vector(7 downto 0);
signal ROM:rom_type := (
X"02",
X"00",
X"08",
X"12",
etc.
This vhdl code is synthesized super fast.(It's automatically generated code
from compiled c using some scripting).But what's the verilog equivalent of
this?
I tried something like:
always @(posedge Clk) begin
case (A)
12'h000 : D = 8'h02;
12'h001 : D = 8'h00;
12'h002 : D = 8'h08;
etc. but this results in a huge synthesize operation for the Xilinx ISE
program which I use. Anybody the syntax at hand for doing this?
Taco