J
John Smith
Guest
Hi,
What is the result of "s" after running this design? Im expecting "000000X0".
library ieee;
use ieee.std_logic_1164.all;
entity test is
end test;
architecture arch of test is
signal s: std_logic_vector(7 downto 0);
begin
s <= "0000001Z";
s <= "ZZZZZZ00";
end arch;
Thanks
What is the result of "s" after running this design? Im expecting "000000X0".
library ieee;
use ieee.std_logic_1164.all;
entity test is
end test;
architecture arch of test is
signal s: std_logic_vector(7 downto 0);
begin
s <= "0000001Z";
s <= "ZZZZZZ00";
end arch;
Thanks