J
Jan Kindt
Guest
Hi all,
I'm busy with some design where I use following types :
type e_DdrCmdType is (WrRq, RdRq);
type t_DdrCmd is record
CmdType : e_DdrCmdType;
RowNr : std_logic_vector(3 downto 0);
ColNr : std_logic_vector(10 downto 0);
BnkNr : std_logic;
end record;
I use the t_DdrCmd to transfer multiple signals from one entity to
another. So far so good. It results in clean, readable code.
Now I need to instantiate a precompiled fifo-core (Xilinx CoreGen)
which has std_logic_vector(16 downto 0) as input & output.
My problem is : how do I get the t_DdrCmd into the fifo ?
using ModelSim for simulation & XST for synthesis.
Thank you for all hints;..
I'm busy with some design where I use following types :
type e_DdrCmdType is (WrRq, RdRq);
type t_DdrCmd is record
CmdType : e_DdrCmdType;
RowNr : std_logic_vector(3 downto 0);
ColNr : std_logic_vector(10 downto 0);
BnkNr : std_logic;
end record;
I use the t_DdrCmd to transfer multiple signals from one entity to
another. So far so good. It results in clean, readable code.
Now I need to instantiate a precompiled fifo-core (Xilinx CoreGen)
which has std_logic_vector(16 downto 0) as input & output.
My problem is : how do I get the t_DdrCmd into the fifo ?
using ModelSim for simulation & XST for synthesis.
Thank you for all hints;..