A
ALuPin
Guest
Hi everybody,
I have a process like that
signal l_data : std_logic_vector(2 downto 0);
process(Reset, Clk)
begin
if Reset='1' then
l_data <= (others => '0');
elsif rising_edge(Clk) then
if l_load='1' then
l_data <= xxx;
end if;
end if;
end process;
When l_load is high I want to load data but these data
should be random for example between 0 and 7 (integer).
How can I solve that problem with a function ?
Thank you for your help.
Kind regards
Andre
I have a process like that
signal l_data : std_logic_vector(2 downto 0);
process(Reset, Clk)
begin
if Reset='1' then
l_data <= (others => '0');
elsif rising_edge(Clk) then
if l_load='1' then
l_data <= xxx;
end if;
end if;
end process;
When l_load is high I want to load data but these data
should be random for example between 0 and 7 (integer).
How can I solve that problem with a function ?
Thank you for your help.
Kind regards
Andre