Question: size of Stratix??

J

Jian Liang

Guest
I am looking for the die size of Stratix EP1s10 FPGA. It will be highly appreciated if anybody can give me a hint where to find such information. Any number in terms of mm^2, lamda, transistor count or gate count will be fine. I have checked the data sheet but could not find any.

Thanks for care!
Please reply to my email: jliang@ecs.umass.edu
 
I ran the testbench as shown below <BR>
and even though it seems to be a simple piece of code ,I keep getting errors for the syntax particularly opening files and closing them for reading or writing. I am using 3.1i Foundation Xilinx with Synopsys synthesis tools.Apparently the textio package is for simulation only and was advised to use "synthesis on/off" <BR>
The code is as follows <p>library ieee; <BR>
use ieee.std_logic_1164.all; <p>library ieee; <BR>
USE IEEE.STD_LOGIC_TEXTIO.ALL; <BR>
use std.textio.all <p>entity testbench is <BR>
generic( <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;vwidth : INTEGER := 8); <p>end testbench; <p>architecture TB_ARCHITECTURE of testbench is <BR>
component window_9 <BR>
generic( <BR>
vwidth : INTEGER := 8 <BR>
&amp;nbsp;); <p>port( <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;Clk: in STD_LOGIC; <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;RSTn: in STD_LOGIC; <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;D: in std_logic_vector (vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w11: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w12: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w13: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w21: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w22: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w23: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w31: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w32: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w33: out std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;DV: out STD_LOGIC <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;); <BR>
end component; <p> signal Clk: STD_LOGIC; <BR>
&amp;nbsp;signal RSTn: STD_LOGIC; <BR>
signal D: std_logic_vector(vwidth-1 downto 0); <BR>
signal w11: std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;signal w12: std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;signal w13: std_logic_vector(vwidth-1 downto 0); <BR>
signal w21: std_logic_vector(vwidth-1 downto 0); <BR>
signal w22: std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;signal w23: std_logic_vector(vwidth-1 downto 0); <BR>
signal w31: std_logic_vector(vwidth-1 downto 0); <BR>
signal w32: std_logic_vector(vwidth-1 downto 0); <BR>
&amp;nbsp;signal w33: std_logic_vector(vwidth-1 downto 0); <BR>
signal DV: STD_LOGIC; <p>begin <p> UUT : window_9 <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;port map <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;( <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;Clk=&gt;Clk, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;RSTn=&gt;RSTn, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;D=&gt;D, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w11=&gt;w11, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w12=&gt;w12, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w13=&gt;w13, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w21=&gt;w21, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w22=&gt;w22, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w23=&gt;w23, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w31=&gt;w31, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w32=&gt;w32, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;w33=&gt;w33, <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;DV=&gt;DV ); <p><p> read_from_file: process(Clk) <BR>
variable indata_line: line; <BR>
variable indata: integer; <p>file input_data_file: text open read_mode is "lena.bin"; <BR>
--file input_data_file: text is in "A:/lena.bin"; <BR>
begin <BR>
if rising_edge(Clk) then <BR>
readline(input_data_file,indata_line); <BR>
read(indata_line,indata); <BR>
D &lt;= conv_std_logic_vector(indata,8); <BR>
if endfile(input_data_file) then <BR>
report "end of file -- looping back to start of file"; <BR>
file_close(input_data_file); <BR>
--return; <BR>
--file input_data_file: text is in "A:/lena.bin"; <BR>
file_open(input_data_file,"lena.bin"); <BR>
end if; <BR>
end if; <BR>
end process; <BR>
write_to_file: process(Clk) <BR>
variable outdata_line: line; <BR>
variable outdata: integer:=0; <BR>
file output_data_file: text open write_mode is "vhdl_output.bin"; <BR>
--file output_data_file:text is out "vhdl_output.bin"; <BR>
begin <BR>
if rising_edge(Clk) then <BR>
outdata := CONV_INTEGER(unsigned(w11)); <BR>
if DV = '1' then <BR>
write(outdata_line,outdata); <BR>
writeline(output_data_file,outdata_line); <BR>
end if; <BR>
end if; <BR>
end process; <p>clock_gen: process <BR>
begin <BR>
Clk &lt;= '0'; <BR>
wait for 5 ns; <BR>
Clk &lt;= '1'; <BR>
wait for 5 ns; <BR>
end process; <BR>
reset_gen: process <BR>
begin <BR>
RSTn &lt;= '0'; <BR>
wait for 10 ns; <BR>
RSTn &lt;= '1'; <BR>
wait; <BR>
end process; <BR>
end TB_ARCHITECTURE; <BR>
--synopsys translate_off <p>configuration cfg_TESTBENCH_WINDOW9 of testbench is <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;for TB_ARCHITECTURE <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;for all : window_9 <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;use configuration work.cfg_window_9; <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;end for; <BR>
end for; <BR>
&amp;nbsp;&amp;nbsp;end configuration cfg_TESTBENCH_WINDOW9 ; <BR>
&amp;nbsp;&amp;nbsp;--synopsys translate_on
 

Welcome to EDABoard.com

Sponsor

Back
Top