question about Verilog reserved words

P

Prosperina

Guest
Hi,

Where can i go to get a complete list of Verilog 2001 reserved words
(keywords)?

Thanks,
Diane
 
Prosperina wrote:

Thanks, I was just looking for a list. I have another question -- it
looks like the keyword attribute was removed from the 2001 standard
although it was in the 95 standard. What's the reason for this?
"attribute" is NOT a keyword in the IEEE 1364-1995 standard.

Shalom

--
Shalom Bresticker Shalom.Bresticker @freescale.com
Design & Verification Methodology Tel: +972 9 9522268
Freescale Semiconductor Israel, Ltd. Fax: +972 9 9522890
POB 2208, Herzlia 46120, ISRAEL Cell: +972 50 5441478

[ ]Freescale Internal Use Only [ ]Freescale Confidential Proprietary
 
Thanks, I was just looking for a list. I have another question -- it
looks like the keyword attribute was removed from the 2001 standard
although it was in the 95 standard. What's the reason for this?

thanks!

Allan Herriman wrote:
On Wed, 27 Oct 2004 14:48:25 -0700, Prosperina <diane.yates@gmail.com
wrote:


Hi,

Where can i go to get a complete list of Verilog 2001 reserved words
(keywords)?


The canonical source is IEEE Std 1364-2001.


If you're just after a list, the syntax highlighting file for any of
the common, free text editors will have a list of keywords. You
should check that it actually is 2001 and not 1995.

For example, the following list was extracted from the syntax
highlighting file for Vim:

always and assign automatic buf
bufif0 bufif1 cell cmos
config deassign defparam design
disable edge endconfig
endfunction endgenerate endmodule
endprimitive endspecify endtable endtask
event force function
generate genvar highz0 highz1 ifnone
incdir include initial inout input
instance integer large liblist
library localparam macromodule medium
module nand negedge nmos nor
noshowcancelled not notif0 notif1 or
output parameter pmos posedge primitive
pull0 pull1 pulldown pullup
pulsestyle_onevent pulsestyle_ondetect
rcmos real realtime reg release
rnmos rpmos rtran rtranif0 rtranif1
scalared showcancelled signed small
specify specparam strong0 strong1
supply0 supply1 table task time tran
tranif0 tranif1 tri tri0 tri1 triand
trior trireg unsigned use vectored wait
wand weak0 weak1 wire wor xnor xor
begin end fork join
if else case casex casez default endcase
forever repeat while for


`celldefine
`default_nettype
`define
`else
`elsif
`endcelldefine
`endif
`ifdef
`ifndef
`include
`line
`nounconnected_drive
`resetall
`timescale
`unconnected_drive
`undef


Regards,
Allan
 
On Wed, 27 Oct 2004 14:48:25 -0700, Prosperina <diane.yates@gmail.com>
wrote:

Hi,

Where can i go to get a complete list of Verilog 2001 reserved words
(keywords)?
The canonical source is IEEE Std 1364-2001.


If you're just after a list, the syntax highlighting file for any of
the common, free text editors will have a list of keywords. You
should check that it actually is 2001 and not 1995.

For example, the following list was extracted from the syntax
highlighting file for Vim:

always and assign automatic buf
bufif0 bufif1 cell cmos
config deassign defparam design
disable edge endconfig
endfunction endgenerate endmodule
endprimitive endspecify endtable endtask
event force function
generate genvar highz0 highz1 ifnone
incdir include initial inout input
instance integer large liblist
library localparam macromodule medium
module nand negedge nmos nor
noshowcancelled not notif0 notif1 or
output parameter pmos posedge primitive
pull0 pull1 pulldown pullup
pulsestyle_onevent pulsestyle_ondetect
rcmos real realtime reg release
rnmos rpmos rtran rtranif0 rtranif1
scalared showcancelled signed small
specify specparam strong0 strong1
supply0 supply1 table task time tran
tranif0 tranif1 tri tri0 tri1 triand
trior trireg unsigned use vectored wait
wand weak0 weak1 wire wor xnor xor
begin end fork join
if else case casex casez default endcase
forever repeat while for


`celldefine
`default_nettype
`define
`else
`elsif
`endcelldefine
`endif
`ifdef
`ifndef
`include
`line
`nounconnected_drive
`resetall
`timescale
`unconnected_drive
`undef


Regards,
Allan
 
Shalom Bresticker <Shalom.Bresticker@freescale.com> wrote in message news:<41848107.AFC14205@freescale.com>...
Prosperina wrote:

Thanks, I was just looking for a list. I have another question -- it
looks like the keyword attribute was removed from the 2001 standard
although it was in the 95 standard. What's the reason for this?

"attribute" is NOT a keyword in the IEEE 1364-1995 standard.
It appears that it was a keyword on the OVI standard. However, OVI
attributes were badly broken, and were not included by the IEEE. You
may have used a tool that implemented a subset of OVI attributes, and
therefore reserved the keywords.
 

Welcome to EDABoard.com

Sponsor

Back
Top