Quartus II TCL or command line

A

AA

Guest
Hi,
how can I add vhdl files to quartus II project using TCL, or command line???

so far I can create project using Quartus_sh --tcl_eval, but I didn't find any tcl command to add a vhdl file to the project.

Thank you,
 
how can I add vhdl files to quartus II project using TCL, or command line???

so far I can create project using Quartus_sh --tcl_eval, but I didn't find any tcl command to add a vhdl file to the project.

Does "quartus_sh --qhelp" print all avalible commands?
Synplify and Vivado knows the "add_file" commad.

Bart Fox
 
AA <ahmedablak0@gmail.com> writes:

Hi,
how can I add vhdl files to quartus II project using TCL, or command line???

so far I can create project using Quartus_sh --tcl_eval, but I didn't find any tcl command to add a vhdl file to the project.

Thank you,

It's the same non-intuitive command you probably already have in your
..qsf files, like this:

set_global_assignment -name VHDL_FILE whatever.vhdl
 

Welcome to EDABoard.com

Sponsor

Back
Top