A
Amit
Guest
Hi group,
I need to combine two entities. One is a debouncer and the other is a
counter. What I have in hand is two entities in two projects.
entity debuncer is
port(
clk, key: in std_logic;
z: out std_logic;
q: buffer std_logic_vector(9 downto 0));
end debouncer;
I need to connect the z output to a counter so I have created a
process as:
mycounter: process(????)
what I'm confused about is that I don't know if I have to consider
"clk" or "z" in sensitivity list or what? I have never used process so
far and all examples in books are only about one entity and one
process in them.
any suggestions will be apprecaited greatly.
thanks,
ak
I need to combine two entities. One is a debouncer and the other is a
counter. What I have in hand is two entities in two projects.
entity debuncer is
port(
clk, key: in std_logic;
z: out std_logic;
q: buffer std_logic_vector(9 downto 0));
end debouncer;
I need to connect the z output to a counter so I have created a
process as:
mycounter: process(????)
what I'm confused about is that I don't know if I have to consider
"clk" or "z" in sensitivity list or what? I have never used process so
far and all examples in books are only about one entity and one
process in them.
any suggestions will be apprecaited greatly.
thanks,
ak