A
arti
Guest
Hello
I make a project "synchrous 4-bit up/down binary counter with dual clock
and clear" and I have a problem
I made two procesess :
---UP --
up: process (Clk_UP) is
variable temp : unsigned(3 downto 0) ;
begin
if reset ='1' then
temp := (others => '0') ;
elsif falling_edge(Clk_UP) then
temp := temp + 1;
end if ;
CoutQ <= std_ulogic_vector(temp) ; --LINE 45
end process;
-- DOWN --
down: process (Clk_DOWN) is
variable temp : unsigned(3 downto 0) ;
begin
if reset ='1' then
temp1 := (others => '0') ;
elsif falling_edge(Clk_UP) then
temp := temp - 1;
end if ;
CoutQ <= std_ulogic_vector(temp) ; -- LINE 58
end process;
first process is counting up second down but when i compile this project I
have error
# Error: ELBWRITE_0028: behavioral74f193a.vhd : (58, 0): Signal "coutQ" has
two sources, but is not resolved signal (at line 58: coutQ & at line 45:
coutQ).
How can I resolve this problem ??
regards
arti
I make a project "synchrous 4-bit up/down binary counter with dual clock
and clear" and I have a problem
I made two procesess :
---UP --
up: process (Clk_UP) is
variable temp : unsigned(3 downto 0) ;
begin
if reset ='1' then
temp := (others => '0') ;
elsif falling_edge(Clk_UP) then
temp := temp + 1;
end if ;
CoutQ <= std_ulogic_vector(temp) ; --LINE 45
end process;
-- DOWN --
down: process (Clk_DOWN) is
variable temp : unsigned(3 downto 0) ;
begin
if reset ='1' then
temp1 := (others => '0') ;
elsif falling_edge(Clk_UP) then
temp := temp - 1;
end if ;
CoutQ <= std_ulogic_vector(temp) ; -- LINE 58
end process;
first process is counting up second down but when i compile this project I
have error
# Error: ELBWRITE_0028: behavioral74f193a.vhd : (58, 0): Signal "coutQ" has
two sources, but is not resolved signal (at line 58: coutQ & at line 45:
coutQ).
How can I resolve this problem ??
regards
arti