O
Ouadid
Guest
Hi every body,
I tried to write some code in VHDL. In the fact all is going well in
the design process, the simulations are well done. Unfortunatly when i
try to synthetis my design i have some trouble. I'm using symplify
7.3.0 and i tried also leonardo spectrum. Both of them failed to
synthetise my design and they don't give any information about the
bug. This is a sample i'm using to show the bug:
******************************************************
Library ieee;
use ieee.std_logic_1164.all;
entity FIFO35_UP is
port(
clk, rst : in std_logic;
Ue1 : in std_logic_vector(3 downto 0);
Us1 : out std_logic_vector(3 downto 0)
);
end FIFO35_UP;
Architecture AFIFO35_UP of FIFO35_UP is
Type FIFO_tableauPU is array (4 downto 0) of std_logic_vector(3
downto 0);
signal fifoU1: FIFO_tableauPU;
Begin
process(clk) begin
--The problem is in the
ieee.std_logic_1164."="(clk,ieee.std_logic_1164.'1')
if(clk'event and
ieee.std_logic_1164."="(clk,ieee.std_logic_1164.'1')) then
if (rst='1') then
for i in fifoU1'range loop
fifoU1(i)<="0011";
end loop;
else
for i in fifoU1'high downto 1 loop
fifoU1(i)<=fifoU1(i-1);
end loop;
fifoU1(0)<=Ue1;
end if;
end if;
end process;
Us1<=fifoU1(4);
end;
***************************************************************
it's just a sample, and in the fact i nead to use the clue in order to
use an overloaded xor so the ieee.std_logic_1164 don't have to be
shown in the code.
Any help????
thanks
I tried to write some code in VHDL. In the fact all is going well in
the design process, the simulations are well done. Unfortunatly when i
try to synthetis my design i have some trouble. I'm using symplify
7.3.0 and i tried also leonardo spectrum. Both of them failed to
synthetise my design and they don't give any information about the
bug. This is a sample i'm using to show the bug:
******************************************************
Library ieee;
use ieee.std_logic_1164.all;
entity FIFO35_UP is
port(
clk, rst : in std_logic;
Ue1 : in std_logic_vector(3 downto 0);
Us1 : out std_logic_vector(3 downto 0)
);
end FIFO35_UP;
Architecture AFIFO35_UP of FIFO35_UP is
Type FIFO_tableauPU is array (4 downto 0) of std_logic_vector(3
downto 0);
signal fifoU1: FIFO_tableauPU;
Begin
process(clk) begin
--The problem is in the
ieee.std_logic_1164."="(clk,ieee.std_logic_1164.'1')
if(clk'event and
ieee.std_logic_1164."="(clk,ieee.std_logic_1164.'1')) then
if (rst='1') then
for i in fifoU1'range loop
fifoU1(i)<="0011";
end loop;
else
for i in fifoU1'high downto 1 loop
fifoU1(i)<=fifoU1(i-1);
end loop;
fifoU1(0)<=Ue1;
end if;
end if;
end process;
Us1<=fifoU1(4);
end;
***************************************************************
it's just a sample, and in the fact i nead to use the clue in order to
use an overloaded xor so the ieee.std_logic_1164 don't have to be
shown in the code.
Any help????
thanks