S
Sylvain Munaut
Guest
Hi,
I have a component that wants a std_logic_vector of 4 bits.
Now, I have a std_logic and a std_logic_vector of 3 bits.
Is it possible to port map it without defining an intermediate signal, like
signal vect : std_logic_vector(2 downto 0);
signal bit : std_logic;
.... port map (
vect4bit => bit & vect,
...
);
But that doesn't work ...
Sylvain Munaut
I have a component that wants a std_logic_vector of 4 bits.
Now, I have a std_logic and a std_logic_vector of 3 bits.
Is it possible to port map it without defining an intermediate signal, like
signal vect : std_logic_vector(2 downto 0);
signal bit : std_logic;
.... port map (
vect4bit => bit & vect,
...
);
But that doesn't work ...
Sylvain Munaut