C
chris
Guest
I tried to declare an output port of my entity as an array and the
compiler don't want it.
Here comes an example :
------------
entity test is
generic (
a : positive := 8;
b : positive := 8
);
port (
e : in std_logic;
s : out array(a downto 0) of std_logic_vector(b downto 0)
);
end entity test;
------------
Do I made a syntaxe error ?
Is someone can give me a possible solution to go around this problem ?
Thanks.
Christophe
compiler don't want it.
Here comes an example :
------------
entity test is
generic (
a : positive := 8;
b : positive := 8
);
port (
e : in std_logic;
s : out array(a downto 0) of std_logic_vector(b downto 0)
);
end entity test;
------------
Do I made a syntaxe error ?
Is someone can give me a possible solution to go around this problem ?
Thanks.
Christophe