Guest
Hey everyone,
I have the following type/signal:
type nums is array(0 to 3) of std_logic_vector(4 downto 0);
SIGNAL numbers :nums;
I'm trying to do the following:
BG_0: BG port map (pixel_row, pixel_column, m_cursor_row,
m_cursor_col, rom_addr,
cursor, numbers);
However, Quartus II is giving me the following error:
type of identifier "numbers" does not agree with its usage as nums
type
my BG package looks like this:
PACKAGE BG_P IS
type nums is array(0 to 3) of std_logic_vector(4 downto 0);
COMPONENT BG IS
PORT(pixel_row, pixel_column : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
m_cursor_row, m_cursor_col : STD_LOGIC_VECTOR(9 DOWNTO 0);
rom_addr : OUT STD_LOGIC_VECTOR(16 downto 0);
cursor : OUT STD_LOGIC;
numbers: OUT num
);
END COMPONENT BG;
END PACKAGE BG_P;
if anyone can offer any insight it would be greatly appreciated, thank
you.
I have the following type/signal:
type nums is array(0 to 3) of std_logic_vector(4 downto 0);
SIGNAL numbers :nums;
I'm trying to do the following:
BG_0: BG port map (pixel_row, pixel_column, m_cursor_row,
m_cursor_col, rom_addr,
cursor, numbers);
However, Quartus II is giving me the following error:
type of identifier "numbers" does not agree with its usage as nums
type
my BG package looks like this:
PACKAGE BG_P IS
type nums is array(0 to 3) of std_logic_vector(4 downto 0);
COMPONENT BG IS
PORT(pixel_row, pixel_column : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
m_cursor_row, m_cursor_col : STD_LOGIC_VECTOR(9 DOWNTO 0);
rom_addr : OUT STD_LOGIC_VECTOR(16 downto 0);
cursor : OUT STD_LOGIC;
numbers: OUT num
);
END COMPONENT BG;
END PACKAGE BG_P;
if anyone can offer any insight it would be greatly appreciated, thank
you.