I
IcYdRIP
Guest
I have to reuse the same call many times. so I plan to use a procedure.
I've read the reference manual and some books, But I still don't know
if it's possible to use a clock signal from entity in the procedure. I
mean, if there's a clk signal in the entity, and I define a procedure
in the package, the declaration as follow for example:
procedure dm9000_rd_data(signal clk : in std_logic; reg : in
std_logic_vector(7 downto 0); signal daBus : inout std_logic_vector(7
downto 0); signal cmd, cs, iowait, rd : out std_logic;
and can I use the clk as it's in a entity? such as clk's events and
values. or I can just read the clk value only?
thanks!
I've read the reference manual and some books, But I still don't know
if it's possible to use a clock signal from entity in the procedure. I
mean, if there's a clk signal in the entity, and I define a procedure
in the package, the declaration as follow for example:
procedure dm9000_rd_data(signal clk : in std_logic; reg : in
std_logic_vector(7 downto 0); signal daBus : inout std_logic_vector(7
downto 0); signal cmd, cs, iowait, rd : out std_logic;
and can I use the clk as it's in a entity? such as clk's events and
values. or I can just read the clk value only?
thanks!