N
Nicolas Matringe
Guest
Hi
I have a warning and I wonder if I should worry about it or not (I think
it's safe but maybe there's a hidden trap there):
....
generic (length : natural := 4);
....
signal slvec : std_logic_vector(length - 1 downto 0)
....
process (clk, rst)
begin
if rst = '1' then
slvec <= (0 => '1', others => '0');
elsif rising_edge(clk) then
....
ModelSim issues a warning:
"Non-static aggregate with multiple choices has non-static others choice."
Any advice?
Thanks in advance
Nicolas
I have a warning and I wonder if I should worry about it or not (I think
it's safe but maybe there's a hidden trap there):
....
generic (length : natural := 4);
....
signal slvec : std_logic_vector(length - 1 downto 0)
....
process (clk, rst)
begin
if rst = '1' then
slvec <= (0 => '1', others => '0');
elsif rising_edge(clk) then
....
ModelSim issues a warning:
"Non-static aggregate with multiple choices has non-static others choice."
Any advice?
Thanks in advance
Nicolas