M
Max
Guest
I have a problem with types:
-----8<-----------
entity driver is
Port ( data : inout integer range 0 to 255;
clk : in std_logic);
end driver;
architecture Behavioral of driver is
type data_type is range 0 to 255;
signal data_reg: data_type;
begin
process (clk)
begin
if rising_edge(clk) then
--- some code here
data <= data_reg;
end if;
end process;
--------8<------------
the error is:
ERROR:HDLParsers:800 - driver.vhd Line xx. Type of data_reg is
incompatible with type of data.
How can I solve this problem?
thanks
-----8<-----------
entity driver is
Port ( data : inout integer range 0 to 255;
clk : in std_logic);
end driver;
architecture Behavioral of driver is
type data_type is range 0 to 255;
signal data_reg: data_type;
begin
process (clk)
begin
if rising_edge(clk) then
--- some code here
data <= data_reg;
end if;
end process;
--------8<------------
the error is:
ERROR:HDLParsers:800 - driver.vhd Line xx. Type of data_reg is
incompatible with type of data.
How can I solve this problem?
thanks