New: read/write to D2SB fpga

P

Phil Moore

Guest
Hello, <br><br>I am new to the whole Xilinx software package and I have a D2SB fpga board with the Spartan IIE fpga its the 2S200E chip pq208 or whatever that means. Also, I have the fpga setup to a digital I/O, DIO4. <br>
Well, what I'm am trying to do is to read and write numbers to the fpga. <br>
1.) Do I have to read and write binary numbers to different addresses or can I read and write base 10 numbers to the fpga's memory? <br>
2.) How do I read/write to the fpga? <br>
3.) Do I assign the GCLK pin of the fpga to the ram symbols clock pin?
 
Phil Moore schrieb:
Hello,

I am new to the whole Xilinx software package and I have a D2SB fpga
board with the Spartan IIE fpga its the 2S200E chip pq208 or whatever
that means. Also, I have the fpga setup to a digital I/O, DIO4.
Well, what I'm am trying to do is to read and write numbers to the fpga.
Which interface you are "reading" from ?
There are some switches and buttons, four 7 segment displays, a simple
vga connector only.

1.) Do I have to read and write binary numbers to different addresses or
can I read and write base 10 numbers to the fpga's memory?
???
2.) How do I read/write to the fpga?
???
3.) Do I assign the GCLK pin of the fpga to the ram symbols clock pin?
joerg
 
I am reading from the 7 segment display. I could read from LED's, it doesn't really matter.
 
Phil Moore schrieb:
I am reading from the 7 segment display. I could read from LED's, it
doesn't really matter.
What are you talking about ?

Here is a simple code fragment to show "1234" on the 7segs

Have a look into the docu of DIO4 and D2SB


j

signal cnt100: integer range 0 to 100;
signal cnt4 : std_logic_vector(1 downto 0);

--
--
--
process (mclk, reset)
begin
if reset='1' then
cnt100&lt;=0;
cnt4&lt;="00";
elsif mclk = '1' and mclk'Event then
if cnt100=99 then
cnt100&lt;=0;
cnt4&lt;=cnt4 + 1;
else
cnt100&lt;=cnt100+1;
end if;
end if;
end process;

--
-- control the anodes of the 7segs

an(0)&lt;='0' when cnt4="00" else '1';
an(1)&lt;='0' when cnt4="01" else '1';
an(2)&lt;='0' when cnt4="10" else '1';
an(3)&lt;='0' when cnt4="11" else '1';

process(cnt4, sw(3 downto 0))
begin
case cnt4 is
when "00" =&gt;
if sw(0)='1' then
cx&lt;="1111001";
else
cx&lt;="1111111";
end if;
when "01" =&gt;
if sw(1)='1' then
cx&lt;="0100100";
else
cx&lt;="1111111";
end if;
when "10" =&gt;
if sw(2)='1' then
cx&lt;="0110000";
else
cx&lt;="1111111";
end if;
when "11" =&gt;
if sw(3)='1' then
cx&lt;="0011001";
else
cx&lt;="1111111";
end if;
when others=&gt;
cx&lt;="1111111";
end case;
end process;
 

Welcome to EDABoard.com

Sponsor

Back
Top