J
june
Guest
Hello everyone? I'm June from Korea.
I was doing a homework, where I encountered a trouble.
I'll appreciate if you can help me.
The Problem is: I checked the grammar again and again, but found no
errors.
Still, ISE says " More actuals found than formals in port map"
There's no information about this error message in Google.
Please! tell me what's the problem and how to solve it.
-June-
architecture-----------------------------------------------------------------------------------------
stage5 : fourbitadder port map (x(3),x(2),x(1),x(0),
y(3),y(2),y(1),y(0), c, sum(3),sum(2),sum(1),sum(0), carry);
*Error message occurs here** More actuals found than formals in port
map***
-----------------------------------------------------------------------------------------------------------
fourbitadder is refered as follows:
architecture----------------------------------------------------------------------------------------
component fourbitadder
port ( a : in std_logic_vector(3 downto 0);
b : in std_logic_vector(3 downto 0);
cin : in std_logic;
s : out std_logic_vector(3 downto 0);
cout : out std_logic);
end component;
----------------------------------------------------------------------------------------------------------
I was doing a homework, where I encountered a trouble.
I'll appreciate if you can help me.
The Problem is: I checked the grammar again and again, but found no
errors.
Still, ISE says " More actuals found than formals in port map"
There's no information about this error message in Google.
Please! tell me what's the problem and how to solve it.
-June-
architecture-----------------------------------------------------------------------------------------
stage5 : fourbitadder port map (x(3),x(2),x(1),x(0),
y(3),y(2),y(1),y(0), c, sum(3),sum(2),sum(1),sum(0), carry);
*Error message occurs here** More actuals found than formals in port
map***
-----------------------------------------------------------------------------------------------------------
fourbitadder is refered as follows:
architecture----------------------------------------------------------------------------------------
component fourbitadder
port ( a : in std_logic_vector(3 downto 0);
b : in std_logic_vector(3 downto 0);
cin : in std_logic;
s : out std_logic_vector(3 downto 0);
cout : out std_logic);
end component;
----------------------------------------------------------------------------------------------------------